Синтез лічильників імпульсів

[ виправити ] текст може містити помилки, будь ласка перевіряйте перш ніж використовувати.

скачати

Міністерство освіти Російської Федерації
Пермський Державний технічний університет
Кафедра: Автоматики і телемеханіки
Курсовий проект по курсу
«Електроніка»
Тема:
Синтез рах е робника імпульсів
Виконав: студент групи
КРЕС-06 Журавльов А.А.
Перевірив: доцент кафедри АТ
Кропачов Г.В.
Перм 2008

Зміст
Завдання
Введення
1. Теоретичні відомості
Класифікація лічильників
Суммирующий послідовний лічильник
Віднімає послідовний лічильник
Реверсивний послідовний лічильник
Паралельний лічильник, що підсумовує
2. Практична частина роботи
Вибір схеми
Складання структурної схеми лічильника
Складання функціональної схеми лічильника
3. Мінімізація функцій управління
Складання таблиці функціонування лічильника і визначення функцій переходів
Складання карт функцій переходу FQ
Складання карти Карно функцій управління входів для кожного тригера лічильника
Складання мінімізованих логічних рівнянь
Вибір елементної бази
4. Розробка принципової схеми
Формувач імпульсів
Блок індикації
Розробка КСУ (комбінаційної схеми управління)
Загальний висновок
Список використаної літератури
Програми

Завдання
Спроектувати двійково-десятковий лічильник, що підсумовує, що працює в прямому коді 2-4-2-1, що забезпечує стану, зазначені у колонці № 4 методичних вказівок, і параметри
U0 = 0.5 (В)
U1 = 2.7 (В)
fmax = 20 (МГц)
Iпотр = 30 (мА)
з індикацією на світлодіодах.
Мета роботи
Придбання навичок структурного проектування цифрових пристроїв словниковим методом і навичок самостійної роботи.

Введення
З розвитком електроніки з'явився такий клас електронної техніки, як цифрова. Ця техніка призначена для формування, обробки і передачі електричних імпульсних сигналів і перепадів напруги і струму, а також для управління інформацією та її зберігання. Цифрові пристрої займають домінуюче місце в багатьох областях науки і техніки, що обумовлено істотно меншим споживанням енергії від джерела живлення, більш високою точністю, меншою критичністю до змін зовнішніх умов, більшою перешкодостійкістю. Цифрова техніка включає в себе такі пристрої як тригери, регістри, лічильники, комбінаційні пристрої, програмовані логічні інтегральні схеми та ін

1. Теоретичні відомості
Класифікація лічильників
Лічильниками називають пристрої для підрахунку числа надійшли на їх вхід імпульсів (команд), запам'ятовування і зберігання результату рахунки і видачі цього результату. Основним параметром лічильника є модуль рахунку (ємність) KС. Ця величина дорівнює числу стійких станів лічильника. Після надходження імпульсів kС лічильник повертається в початковий стан. Для двійкових лічильників kС = 2 m, де m - число розрядів лічильника.
Крім kС важливими характеристиками лічильника є максимальна частота рахунку fmax і час встановлення tуст, які характеризують швидкодію лічильника.
Tуст - тривалість перехідного процесу перемикання лічильника в новий стан: tуст = mtтр, де m - число розрядів, а tтр - час перемикання тригера.
Fmax - максимальна частота вхідних імпульсів, при якій не відбувається втрати імпульсів.
За типом функціонування
Підсумовуючі
Віднімаючий
Реверсивні
У суммирующем лічильнику прихід кожного вхідного імпульсу збільшує результат рахунку на одиницю, в віднімаючий - зменшує на одиницю; у реверсивних лічильниках може відбуватися як сумарний залік, так і віднімання.
За структурної організації
послідовними
паралельними
послідовно-паралельними
У послідовному лічильнику вхідний імпульс подається тільки на вхід першого розряду, на входи кожного наступного розряду подається вихідний імпульс попереднього йому розряду.
У паралельному лічильнику з приходом чергового лічильного імпульсу перемикання тригерів при переході в новий стан відбувається одночасно.
Послідовно-паралельна схема включає в себе обидва попередні варіанти.
По порядку зміни станів
з природним порядком рахунки
з довільним порядком рахунки
За модулем рахунку
двійкові
Недвійкова
Модуль рахунку двійкового лічильника Kc = 2, а модуль рахунку Недвійкова лічильника Kc = 2m, де m - число розрядів лічильника.
Суммирующий послідовний лічильник

Рис.1. Суммирующий послідовний 3х розрядний лічильник.
Тригери даного лічильника спрацьовують по задньому фронту лічильного імпульсу. Вхід старшого розряду лічильника пов'язаний з прямим виходом (Q) молодшого сусіднього розряду. Тимчасова діаграма роботи такого лічильника наведена на рис.2. У початковий момент часу стану всіх тригерів рівні лог.0, відповідно на їх прямих виходах лог.0. Це досягається за допомогою короткочасного лог.0, поданого на входи асинхронної установки тригерів в лог.0. Загальний стан лічильника можна охарактеризувати двійковим числом (000). Під час рахунку на входах асинхронної установки тригерів в лог.1 підтримується лог.1. Після приходу заднього фронту першого імпульсу 0-розряд перемикається в протилежний стан - лог.1. На вході 1-розряду з'являється передній фронт лічильного імпульсу. Стан лічильника (001). Після приходу на вхід лічильника заднього фронту другого імпульсу 0-розряд перемикається в протилежний стан - лог.0, на вході 1-розряду з'являється задній фронт рахункового імпульсу, який перемикає 1-розряд в лог.1. Загальний стан лічильника - (010). Наступний задній фронт на вході 0-розряду встановить його в лог.1 (011) і т.д. Таким чином, лічильник накопичує число вхідних імпульсів, що надходять на його вхід. При надходженні 8-ми імпульсів на його вхід лічильник повертається в початковий стан (000), значить коефіцієнт рахунку (КСЧ) даного лічильника дорівнює 8.
SHAPE \ * MERGEFORMAT
"0"
"1"
«Такт»
Q 0
Q 1
Q 2
t
t
t
t
t
t

Рис. 2. Тимчасова діаграма послідовного підсумовуючого лічильника.

Віднімає послідовний лічильник
Тригери даного лічильника спрацьовують по задньому фронту. Для реалізації операції віднімання лічильний вхід старшого розряду підключається до інверсного виходу сусіднього молодшого розряду. Попередньо тригери встановлюють у стан лог.1 (111). Роботу даного лічильника показує тимчасова діаграма на рис. 4.

Рис. SEQ Рис. \ * ARABIC 1 Послідовний віднімає лічильник
SHAPE \ * MERGEFORMAT
Q 2
«Такт»
"0"
"1"
Q 0
Q 1
t
t
t
t
t
t

Рис. SEQ Рис. \ * ARABIC 2 Тимчасова діаграма послідовного віднімаючий лічильника
Реверсивний послідовний лічильник
Для реалізації реверсивного лічильника необхідно об'єднати функції підсумовуючого лічильника і функції віднімаючий лічильника. Схема даного лічильника наведена на рис. 5. Для управління режимом рахунки служать сигнали «сума» і «різницю». Для режиму підсумовування «сума» = лог.1, «0»-короткочасний лог.0; «різницю» = лог.0, «1»-короткочасний лог.0. При цьому елементи DD4.1 і DD4.3 дозволяють подачу на тактові входи тригерів DD1.2, DD2.1 через елементи DD5.1 ​​і DD5.2 сигналів з прямих виходів тригерів DD1.1, DD1.2 відповідно. При цьому елементи DD4.2 і DD4.4 закриті, на їх виходах присутній лог.0, тому дія інверсних виходів ніяк не відбивається на рахункових входах тригерів DD1.2, DD2.1. Таким чином, реалізується операція підсумовування. Для реалізації операції віднімання на вхід «сума» подається лог.0, на вхід «різницю» лог.1. При цьому елементи DD4.2, DD4.4 дозволяють подачу на входи елементів DD5.1, DD5.2, а відповідно і на рахункові входи тригерів DD1.2, DD2.1 сигналів з інверсних виходів тригерів DD1.1, DD1.2. При цьому елементи DD4.1, DD4.3 закриті і сигнали з прямих виходів тригерів DD1.1, DD1.2 ніяк не впливають на рахункові входи тригерів DD1.2, DD2.1. Таким чином, реалізується операція віднімання.

Рис. SEQ Рис. \ * ARABIC 3 Послідовний реверсивний 3-х розрядний лічильник
Для реалізації даних лічильників також можна використовувати тригери, що спрацьовують по передньому фронту рахункових імпульсів. Тоді при підсумовуванні на рахунковий вхід старшого розряду треба подавати сигнал з інверсного виходу сусіднього молодшого розряду, а при відніманні навпаки - з'єднувати лічильний вхід з прямим виходом.
Недолік послідовного лічильника - при збільшенні розрядності пропорційно збільшується час установки (tуст) даного лічильника. Перевагою є простота реалізації.
Паралельний лічильник, що підсумовує
Принцип дії даного лічильника полягає в тому, що вхідний сигнал, що містить рахункові імпульси, подається одночасно на всі розряди даного лічильника. А установкою лічильника в стан лог.0 або лог.1 управляє схема управління. Схема даного лічильника показана на рис.6

Рис. SEQ Рис. \ * ARABIC 4 суммирующий лічильник паралельної дії
Розряди лічильника - тригери DD1, DD2, DD3.
Схема управління - елемент DD4.
Гідність даного лічильника - малий час установки, яке не залежить від розрядності лічильника.
Недолік - складність схеми при підвищенні розрядності лічильника.

2. Практична частина роботи
Вибір схеми
Потрібно спроектувати суммирующий двійково-десятковий лічильник імпульсів. Для даного лічильника підійде схема паралельного підсумовуючого лічильника, тому що цей варіант відрізняється хорошою швидкодією, що важливо при індикації на семисегментних індикаторах, тому що там, крім самого рахунку, враховується час реагування дешифратора. Я ж проектую лічильник з індикацією на світлодіодах, і мене влаштував би менш «швидкий» лічильник, але краще зробити лічильник як можна більш універсальним (наприклад, щоб працював з різним варіантом індикації), та й більш висока швидкість спрацьовування краще, ніж низька, тому я вибрав схему паралельного лічильника.
Складання структурної схеми лічильника
Структурна схема - сукупність блоків лічильника, виконують якусь функцію і забезпечують нормальну роботу лічильника. На малюнку 7 показано структурна схема лічильника.
SHAPE \ * MERGEFORMAT
Блок рахунки
Блок управління
Блок індикації

Рис. 7 Структурна схема лічильника
Блок управління виконує функцію подачі сигналу і керування тригерами.
Блок рахунку призначений для зміни стану лічильника і збереження цього стану.
Блок індикації виводить інформацію для зорового сприйняття.
Складання функціональної схеми лічильника
Функціональна схема - внутрішня структура лічильника.
Визначимо оптимальну кількість тригерів для Недвійкова лічильника з коефіцієнтом рахунку Кс = 10.
M = log 2 (Кс) = 4.
M = 4 означає для реалізації двійково-десяткового лічильника необхідно 4 тригера.

3. Мінімізація функцій управління
Складання таблиці функціонування лічильника і визначення функцій переходів
Таблиця функціонування відображає стану лічильника до переключающего сигналу і після залежно від заданого коду (2-4-2-1), а також функції переходу, що показують, як зміниться стан. При використанні чотирьох розрядів можна закодувати 16 можливих комбінацій цифр двійкової системи числення, для кодування 10 цифр достатньо 10 комбінацій. Щоб виключити деякі комбінації (залежно від коду) використовують різні види кодування. У коді 2-4-2-1 (код Айкена) виключаються такі комбінації як:
1000,1001,1010,1011,1100,1101
І залишаються комбінації:
0000
0001
0010
0011
0100
0101
0110
0111
1110
1111
0
1
2
3
4
5
6
7
8
9
У нижньому рядку вказана цифра десяткової системи числення, якій відповідає дана комбінація. Таблиця функціонування для підсумовуючого двійково-десяткового лічильника, що працює в прямому коді 2-4-2-1, буде виглядати так:
Стан лічильника
Функції переходу
Попереднє
Подальше

Qn3
Qn2
Qn1
Qn0
Qn +13
Qn +12
Qn +11
Qn +10
FQ3
FQ3
FQ3
FQ3
0
0
0
0
0
0
0
0
1
0
0
0

1
0
0
0
1
0
0
1
0
0
0


2
0
0
1
0
0
0
1
1
0
0
1

3
0
0
1
1
0
1
0
0
0



4
0
1
0
0
0
1
0
1
0
1
0

5
0
1
0
1
0
1
1
0
0
1


6
0
1
1
0
0
1
1
1
0
1
1

7
0
1
1
1
1
1
1
0

1
1

8
1
1
1
0
1
1
1
1
1
1
1

9
1
1
1
1
0
0
0
0




Де значення функцій переходу:
0 - перехід з LOG "0" в LOG "0"
1 - перехід з LOG "1" в LOG "1"
▲ - перехід з LOG "0" в LOG "1"
▼ - перехід з LOG "1" в LOG "0"
№ - цифра десяткової системи числення.
Складання карт функцій переходу FQ
Ці карти показують, яке значення приймає функція переходу для даного тригера при певній комбінації значень на виходах всіх тригерів. Карти функцій переходу будуть потрібні в подальшому для складання функцій управління входами тригерів.
Карти станів лічильника:

Q1Q0
00
01
10
11
Q3Q2
00
0
1
2
3
01
4
5
6
7
10
-
-
-
-
11
-
-
8
9
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
8
9
-
-
6
7
5
4
Q3 *
Q2 *
2
3
1
0
Q0 *
Q0
Q0 *

Карти функцій переходу:
FQ3
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
1

-
-
0

0
0
Q3 *
Q2 *
0
0
0
0
Q0 *
Q0
Q0 *
FQ2
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
1

-
-
1
1
1
1
Q3 *
Q2 *
0

0
0
Q0 *
Q0
Q0 *
FQ0
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2


-
-




Q3 *
Q2 *




Q0 *
Q0
Q0 *
FQ1
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
1

-
-
1
1

0
Q3 *
Q2 *
1


0
Q0 *
Q0
Q0 *
У лівому верхньому кутку кожної карти зазначено, для якого тригера складалася карта.
Складання карти Карно функцій управління входів для кожного тригера лічильника
Карти Карно складаються у відповідність зі словником переходу тригера. Для даного лічильника я буду використовувати JK-тригери, т. К. вони самі універсальні. Словник переходу для JK-тригера виглядає наступним чином:
FQ
J-вхід
K-вхід
0
0
X
1
X
0

1
X

X
1
Використовуючи цей словник, отримаємо:
для тригера T3:
J3
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
X
X
-
-
0
1
0
0
Q3 *
Q2 *
0
0
0
0
Q0 *
Q0
Q0 *
K3
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
0
1
-
-
X
X
X
X
Q3 *
Q2 *
X
X
X
X
Q0 *
Q0
Q0 *
для тригера T2:
J2
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
X
X
-
-
X
X
X
X
Q3 *
Q2 *
0
1
0
0
Q0 *
Q0
Q0 *
K2
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
0
1
-
-
0
0
0
0
Q3 *
Q2 *
X
X
X
X
Q0 *
Q0
Q0 *

для тригера T1:
J1
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
X
X
-
-
X
X
1
0
Q3 *
Q2 *
X
X
1
0
Q0 *
Q0
Q0 *
K1
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
0
1
-
-
0
0
X
X
Q3 *
Q2 *
0
1
X
X
Q0 *
Q0
Q0 *
для тригера T0:
J0
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
1
X
-
-
1
X
X
1
Q3 *
Q2 *
1
X
X
1
Q0 *
Q0
Q0 *
K0
Q1
Q1 *
Q2 *
-
-
-
-
Q3
Q2
X
1
-
-
X
1
1
X
Q3 *
Q2 *
X
1
1
X
Q0 *
Q0
Q0 *
Складання мінімізованих логічних рівнянь
У картах Карно виділені клітини, які описуються найбільш простими логічними рівняннями, і охоплюють всі одиничні стану тригерів. Виходячи з цього, складемо мінімізовані логічні рівняння функцій управління:

J3 = Q0Q1Q2 K3 = Q0Q1 Q - пряме значення (LOG "1")
J2 = Q0Q1 K2 = Q0Q1Q3 'Q - інверсне значення (LOG "0")
J1 = Q0'Q1 K1 = Q0Q1Q3 + Q0'Q2
J0 = 1 K0 = 1
Перетворимо функцію K1 = Q0Q1Q3 + Q0'Q2 по теоремі Де-Моргана:
K1 = ['(Q0Q1Q3)] [' (Q0'Q2)]
K1 ='{['( Q0Q1Q3)] ['(Q0'Q2)]}
Після перетворень отримаємо такі рівняння:
J3 = Q0Q1Q2 K3 = Q0Q1 Q - пряме значення
J2 = Q0Q1 K2 = Q0Q1Q3 'Q - інверсне значення
J1 = Q0'Q1 K1 ='{['( Q0Q1Q3)] ['(Q0'Q2)]}
J0 = 1 K0 = 1
4. Вибір елементної бази
Для розробки принципової схеми необхідно вибрати тип логіки, на якій буде реалізований лічильник. Задані параметри та параметри мікросхем підходящої серії показані в таблиці:
Параметр
У завданні
Серія К555
U1, В
2,7
> = 2.5
U0, В
0,5
<= 0.5
Iпотр, мА
30
7 * 2 = 14
fmax, МГц
20
20
З таблиці видно, що для таких заданих параметрів підходить серія К555 ТТЛШ логіки.

4. Розробка принципової схеми
Формувач імпульсів
Формувач імпульсів - пристрій, необхідний для усунення брязкоту контактів, що виникає при замиканні механічних контактів, який може призвести до неправильної роботи схеми.
На малюнку 9 наведені схеми формувачів імпульсів від механічних контактів.

Рис. 9 Формувачі імпульсів від механічних контактів.
Виберемо схему 9.а) через простоту виконання.
Блок індикації
Для відображення результату рахунку необхідно використовувати світлодіоди. Щоб здійснити такий висновок інформації можна скористатися найпростішою схемою. Схема блоку індикації на світлодіодах наведена на рисунку 10.


Рис. 10 Блок індикації на світлодіодах.
Розробка КСУ (комбінаційної схеми управління)
Для реалізації даного лічильника із серії ТТЛШ мікросхем К555 я вибрав:
дві мікросхеми К555ТВ9 (2 JK-тригера з установкою)
одну мікросхему К555ЛА4 (3 елементи 3И-НЕ)
дві мікросхеми К555ЛА3 (4 елементи 2І-НЕ)
одну мікросхему К555ЛН1 (6 інверторів)
Дані мікросхеми забезпечують мінімальну кількість корпусів на друкованій платі.
Принципова схема КП.2421.ПС, специфікація КП.2421.01, креслення монтажної схеми та друкованої плати, а також функціональна схема знаходяться у додатках.

Загальний висновок
Згідно з завданням я розрахував і спроектував двійково-десятковий лічильник, що підсумовує, що працює в прямому коді 2-4-2-1, з індикацією на світлодіодах і перевірив його працездатність на лабораторних стендах.
Під час роботи над курсовим проектом я придбав нові навички з проектування та розробки цифрових пристроїв, а також зміцнив теоретичні знання, придбані мною на лекціях з електроніки.

Список використаної літератури
І.І. Бобров «Імпульсні і цифрові пристрої», Перм 2005р.
Довідник «Інтегральні мікросхеми» Б.В. Тарабрін, Л.Ф. Лунін, Ю.М. Смирнов та ін, Радіо і зв'язок, Москва 1984г.
У Л. Шило «Популярні цифрові мікросхеми», Радіо і зв'язок, Москва 1987.
А.С. Партин, В.Г. Борисов «Введення в цифрову техніку», Радіо і зв'язок, Москва 1987.
Б.І. Горошків «Елементи радіоелектронних пристроїв», Радіо і зв'язок, Москва 1988.
Методичні рекомендації «Синтез лічильників сигналів» Ю. В. Панов, Т. С. Леготкіна, Перм 1990р.
Також використані матеріали сайту www.qrz.ru і електронний «Довідник з цифровим логічним мікросхемах ТТЛ, ТТЛШ, ЕСЛ типів, 1 частина».
Додати в блог або на сайт

Цей текст може містити помилки.

Комунікації, зв'язок, цифрові прилади і радіоелектроніка | Курсова
225.5кб. | скачати


Схожі роботи:
Генератор прямокутних імпульсів
Цифрові лічильники імпульсів
Дисперсія імпульсів в одномодових волокнах
Біфокальні ЕКС з передсердно-шлуночкової послідовністю імпульсів
Малогабаритний вибуховий генератор НВЧ імпульсів для метеорологічного застосування
Функціональні збої персонального комп`ютера при дії електромагнітних імпульсів сверхкороткое
Проектування засобів обчислювальної техніки в САПР PCAD 2008 схема формувача імпульсів
Синтез білка
Синтез бензальаніліна
© Усі права захищені
написати до нас