Розробка багатоканальної системи сигналізації

[ виправити ] текст може містити помилки, будь ласка перевіряйте перш ніж використовувати.

скачати

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ

Установа освіти «Гомельський державний дорожньо-будівельний коледж імені Ленінського комсомолу Білорусі»

ПОЯСНЮВАЛЬНА ЗАПИСКА

ДИПЛОМНОГО ПРОЕКТУ

«Розробка багатоканальної системи сигналізації»

Гомель 2008

Введення

Дипломне проектування - завершальний етап навчання учнів технічних спеціальностей в установі освіти "Гомельський державний дорожньо-будівельний коледж імені Ленінського комсомолу Білорусії», який має на меті:

  1. Систематизацію, закріплення, розширення теоретичних знань і практичних навичок і застосування їх для вирішення конкретних професійних завдань;

  2. Оволодіння методикою проектування, формування навичок самостійної проектно-конструкторської роботи;

  3. Придбання навичок узагальнення та аналізу результатів, отриманих іншими розробниками або дослідниками;

  4. Виявлення рівня підготовленості учнів для самостійної роботи на виробництві, у проектних організаціях та установах.

Відповідно до завдання на дипломний проект переді мною була поставлена ​​задача розробити багатоканальну систему сигналізації.

1. Розрахунково-проектувальний розділ

    1. Призначення та області застосування

Тема мого дипломного проекту «Розробка багатоканальної системи сигналізації». Система сигналізації призначена для установки в квартирі або будь-якому іншому приміщенні, який потребує охорони і оповіщати звуковим сигналом, при спрацьовуванні одного з датчиків, господаря даного приміщення або охорону. Система, що розробляється сигналізації може знайти застосування в будь-якому приміщенні, який потребує охорони.

    1. Розробка структурної схеми

Розробка структурної схеми є початковим етапом проектування будь-якого електронного пристрою.

Структурною називається схема, яка визначає основні функціональні частини виробу і зв'язки між ними. Структурна схема лише в загальних рисах розкриває призначення пристрою і його функціональних частин, а також взаємозв'язки між ними, і служить лише для загального ознайомлення з виробом.

Складові частини проектованого пристрою зображуються спрощено у вигляді прямокутників довільної форми, тобто з застосуванням умовно-графічних позначень. Усередині кожного прямокутника, функціонального вузла пристрою, вказані найменування, які дуже коротко описують призначення конкретного блоку. Структурна схема світлодіодним інформаційної панелі, представлена ​​на малюнку 1.2.1.

Структурна схема розробляється багатоканальної системи сигналізації складається з наступних блоків:

Малюнок 1.2.1 Структурна схема

«Блок формування тимчасових інтервалів» - являє собою блок в якому формуються тимчасові інтервали. Цей блок виконує функцію задатчика тимчасових діапазонів спрацьовування того або іншого пристрою, наприклад спрацьовування сирени.

«Джерело живлення» - в особливих пояснень не потребує, так як зібраний за типовою схемою. Комутація напруги харчування здійснюється контактами поляризованого реле.

«Сканер датчиків» - застосування сканера забезпечує автоматичне запам'ятовування стану датчиків у момент включення блоку охорони як вихідного. При цьому датчики можуть бути у довільній комбінації замкнуті або розімкнуті - сигналізація спрацює від зміни стану, а при довготривалому порушенні одного з чотирьох шлейфів охорони, через 3,5 хв роботи сирени, сканер перемкне ланцюг охорони на інверсний сигнал, тобто якщо раніше даний шлейф спрацьовував на розмикання, то тепер буде реагувати на зміну стану (замикання). Таке переключення при необхідності схема виконує до трьох разів, коли з'являється імпульс на виході лічильника.

    1. Розробка принципової схеми

Блок тимчасових інтервалів - (рис 1.3.1.1), складається з тригера на елементах D D 1.1. D D 1.3; генератора імпульсів D D 3.1, D D 3.2; лічильника імпульсів D D 5 селектора тимчасових інтервалів (12 і 6 с) на логічних елементах мікросхем D D 6, D D 3, D D 7; обмежувача часу звучання звукового сигналу на лічильнику D D 2; тригера на елементах D D 4 для забезпечення режиму очікування початку відліку першого тимчасового інтервалу (12 с). В якості тригера вибираємо мікросхему 561ЛЕ5, а в якості генератора імпульсів D3.1, D3.2 вибираємо мікросхему 561ЛЕ5.

У момент подачі живлення на схему імпульс, сформований ланцюгом C3-R3, забезпечує початкову нульову установку лічильників D D 2 і D D 5 (на виході D D 2 / 7 з'явиться лог. "1", тобто напруга живлення). При цьому на висновках мікросхем будуть стану: D D 4 / 3 - «1»; D D 5 / 11 - «1»; D D 1 / 1 - «1»; D D 1 / 2 - «1» D D 1 / 3 - «0»; D D 6 / 10 - «1»; D D 7 / 9 - «0». В якості лічильників підбираємо мікросхеми 561ІЕ11 і 561ІЕ16 відповідно.

Розглянемо цоколевку лічильника типу ІЕ16 на рис 1.3.1.2.

Після спрацьовування датчика F1 (балка. «0» на входах D D 4 / 13 і D D 1 / 9) на виході D D 4 / 11 з'явиться лот. «1» (на D D 4 / 10 - лот. «0», що дозволяє роботу лічильника D D 5). При цьому працює генератор (імпульси на D D 3 / 3 з частотою приблизно 500 Гц) і пов'язаний з ним лічильник D D 5, до моменту часу (12 с), поки на D D 6 / 10 не з'явиться лот. «0» (на D D 1 / 3 лот. «1» - що зупинить роботу генератора). Схема переходить в режим ОХОРОНА. Якщо при цьому спрацює датчик F1 - переключиться тригер на елементах D D 1.1.D D 1.3 (на виведенні D D 1 / 4 з'явиться лог. "1", на D D 1 / 3 - «0»), що дозволяє роботу генератора і лічильника D D 5. У цьому випадку якщо не натиснути кнопку SB2, через 6 с з'явиться звуковий сигнал тривоги.

Малюнок 1.3.1.1 Формувач тимчасових інтервалів.

Рис. 1.3.1.2 Цоколевка лічильника типу ІЕ16

При спрацьовуванні будь-якого іншого датчика тригер на елементах D D 1.1 ... D D 1.3 також переключиться, але звуковий сигнал тривоги з'явиться без затримки і буде переривчастим, так як лот. «О» подається на вхід D D 3 / 12, а на D D 3 / 11 будуть імпульси.

Лічильник D D 2 дозволяє обмежити час роботи звукового оповіщення. Коли на D D 2 / 7 з'явиться лот. «О» (при включеному SA1), а на D D 4 / 10 - лот. «1» - цей рівень дає заборону на роботу D D 5 і проходження сигналів на вихід D D 7 / 9.

Контроль за напругою акумулятора виконує транзистор VT3. Він працює в режимі мікроструми, за рахунок чого має велике посилення і переключається із замкненого стану у відкрите при зміні напруги в ланцюзі контролю на 0,1 В. Підбором резистора R11 потрібно домогтися, щоб при напрузі джерела G1 9 В і менше транзистор VT3 замикався ( лог «1» на вході D D 4 / 6). Зелений світлодіод буде безперервно світитися - що говорить про необхідність усунути причину зниження напруги. Світлодіод відключиться при переході схеми в режим ОХОРОНА (D D 4 / 5 - лот «0») - це виключає розряд елементів живлення за рахунок струму, що протікає через світлодіод. Здвоєний світлодіод HL1 можна замінити двома будь-якими звичайними, але з різним кольором світіння. Зелений

світлодіод служить також для індикації режимів роботи моргає). При цьому для того щоб знизити струм споживання схемою, напруга на нього подається короткими імпульсами з виходу D D 7 / 10. Через інерції зору це непомітно.

Налагодження блоку тимчасових інтервалів починається з установки резистором R12 порога замикання транзистора VT3 при напрузі 9 В в ланцюзі акумулятора (напруга подається від регульованого джерела живлення). Після цього перевіряється логіка роботи схеми у відповідності з описом. При необхідності можна підстроїти частоту тактового генератора резистором R9 для отримання тимчасових інтервалів 6 і 12 с (або 8 і 16 с).

Сканер охоронних датчиків (рис 1.3.1.3), зібраний на двох мікросхемах. Чотирирозрядний послідовно-паралельний регістр 2D D 1 використовується для запам'ятовування початкового стану охоронних датчиків.

Запис в регістр проводиться при появі імпульсу на вході 2D D 1 / 6 - спочатку це відбувається при спрацьовуванні датчика F1 (тригер на D D 4.2, D D 4.3 переключиться). Управління регістром 2D D 1 вибрано так, що на його виходах сигнал є інверсним по відношенню до вхідних (у початковому стані на висновках 13,15, 14 і 1 буде лог «1») Логічні елементи 2D D 2 забезпечують на виходах лог «1» , що еквівалентно підключенню до гнізда Х S З нормально розімкнутих датчиків.

Наявність виходів від кожного тригера регістру дозволяє перетворювати послідовний код на вході D в паралельний, що знімається з виходів QO ... Q3. З одного корпусу ІС типу ІР2 можна організувати восьмирозрядний регістр з послідовним введенням інформації і паралельним зчитуванням. Для цього достатньо встановити перемички між висновками 6 і 14, 1 і 9, 10 і 15.

Малюнок 1.3.1.3 Сканер охоронних датчиків

В якості датчиків, що встановлюються на дверях, вікнах та інших місцях можуть застосовуватися як звичайні, промислового виготовлення (СМК-1, Дімка) на розмикання, так і будь-які інші (ультразвукові, ємнісні, інфрачервоні і т.д.), що мають релейний вихід при спрацьовуванні. До одного охоронного шлейфу може підключатися багато датчиків, замкнутих у кільце так, щоб при розмиканні будь-якого з них розривалася ланцюг.

Розглянь більш докладно мікросхему 561ЛЕ5.

Мікросхеми типу ЛЕ5 виконують логічну функцію т АБО-НЕ, де т - кількість входів. Реалізація її забезпечується послідовним з'єднанням т МДП-транзисторів з каналом р-типу і паралельним з'єднанням т МДП-транзисторів з каналом n-типу. На рис. 1.3.2.1 наведена принципова електрична схема і таблиця станів логічного елемента 2ИЛИ-НЕ, що є одним з елементів ІС ЛЕ5.

Цей тип елементів також має більш високий рівень U вих і більш низький рівень Uвих, в порівнянні з простим КМДП-інвертором. Щоб величина U вих не була нижчою гранично допустимого рівня, ширина каналів МДП-транзисторів з каналом р-типу більше в т разів, ніж у МДН-транзисторів з каналом л-типу.

Кожна з мікросхем типу ЛЕ містить від 2 до 4 логічних елементів т АБО-НЕ. Кількість елементів у корпусі визначається кількістю висновків.

Рис. 1.3.2.1 Двухвходной елемент АБО.

Розглянь більш докладно мікросхему 561ЛП2.

Мікросхема 561ЛП2 містить по чотири елементи, що виключають АБО. Принципова схема одного каналу ІС наведена на рис. 3.6. Тут окрім трьох КМДП-інверторів застосований ключ комутації КК. Високий рівень на виході Q з'являється тільки в тому випадку, якщо один із вхідних рівнів А і В високий. Якщо обидва рівня А с В низькі або високі, на виході Q буде низький рівень. Умовне позначення і цоколевка ІС ЛП2 зображені на малюнках 1.3.2.2 і 1.3.2.3.

Рис. 1.3.2.2. Принципова схема елемента «виключає АБО»

Рис. 1.3.2.3 Цоколевка ІС ЛП2

Розглянь більш докладно мікросхему 561ІР9.

Мікросхеми 561ІР9 містить по два незалежних чотирирозрядний регістра зсуву, кожен з яких має виходи від кожного з тригерів. Цоколевка ІС наведена на рис. 1.3.2.4. Всі тригери регістрів D-типу. Дані Б регістр вводяться послідовно через вхід D. Інформація в регістрі зсувається на один розряд по кожному фронту (позитивному перепаду) синхроімпульсів на вході С. Скидання регістру в нуль здійснюється подачею позитивного імпульсу (високого рівня) на асинхронний вхід R.

Малюнок 1.3.2.4. Цоколевка 561ІР9

У схемі застосовані неполярні конденсатори - К10-17, електролітичні типу К52-1Б або аналогічні з малими струмами витоку Резистори підійдуть будь-які. Мікросхеми серії 561 замінюються на аналогічні з серії 564 Для з'єднання модулів між собою (при використанні системи для охорони приміщення) зручно застосовувати роз'єми типу МРН14-1 або аналогічні. Реле ЗК1 типу РЕС48, паспорт РС4.520.202 (РС4.520 214), але підійдуть і багато інших Поляризовані реле К1 блоку живлення типу РЕС32Б РС4 520.204, РС4 520.212 або РС4.520 220.

З'єднання від датчиків F1. F4 до схеми виконуються перевитими

між собою проводами. Кнопка SB2 встановлюється приховано в будь-якому зручному місці. Звуковий індикатор HF1 і світлодіод HL1 бажано винести з корпусу, що відверне увагу від місця розташування основної конструкції у разі проникнення злодія.

Принцип дії багатоканальної системи сигналізації розглянемо на основі принципової схеми.

У момент подачі живлення на схему імпульс, сформований ланцюгом C3-R3, забезпечує початкову нульову установку лічильників D D 2 і D D 5 (на виході D D 2 / 7 з'явиться лог. "1", тобто напруга живлення). При цьому на висновках мікросхем будуть стану: D D 4 / 3 - «1»; D D 5 / 11 - «1»; D D 1 / 1 - «1»; D D 1 / 2 - «1» D D 1 / 3 - «0»; D D 6 / 10 - «1»; D D 7 / 9 - «0».

Після спрацьовування датчика F1 (балка. «0» на входах D D 4 / 13 і D D 1 / 9) на виході D D 4 / 11 з'явиться лот. «1» (на D D 4 / 10 - лот. «0», що дозволяє роботу лічильника D D 5). При цьому працює генератор (імпульси на D D 3 / 3 з частотою приблизно 500 Гц) і пов'язаний з ним лічильник D D 5, до моменту часу (12 с), поки на D D 6 / 10 не з'явиться лот. «0» (на D D 1 / 3 лот. «1» - що зупинить роботу генератора). Схема переходить в режим ОХОРОНА. Якщо при цьому спрацює датчик F1 - переключиться тригер на елементах D D 1.1.D D 1.3 (на виведенні D D 1 / 4 з'явиться лог. "1", на D D 1 / 3 - «0»), що дозволяє роботу генератора і лічильника D D 5. У цьому випадку якщо не натиснути кнопку SB2, через 6 с з'явиться звуковий сигнал тривоги.

При спрацьовуванні будь-якого іншого датчика тригер на елементах D D 1.1 ... D D 1.3 також переключиться, але звуковий сигнал тривоги з'явиться без затримки і буде переривчастим, так як лот. «О» подається на вхід D D 3 / 12, а на D D 3 / 11 будуть імпульси.

Лічильник D D 2 дозволяє обмежити час роботи звукового оповіщення. Коли на D D 2 / 7 з'явиться лот. «О» (при включеному SA1), а на D D 4 / 10 - лот. «1» - цей рівень дає заборону на роботу D D 5 і проходження сигналів на вихід D D 7 / 9.

Контроль за напругою акумулятора виконує транзистор VT3. Він працює в режимі мікроструми, за рахунок чого має велике посилення і переключається із замкненого стану у відкрите при зміні напруги в ланцюзі контролю на 0,1 В. Підбором резистора R11 потрібно домогтися, щоб при напрузі джерела G1 9 В і менше транзистор VT3 замикався ( лог «1» на вході D D 4 / 6). Зелений світлодіод буде безперервно світитися - що говорить про необхідність усунути причину зниження напруги. Світлодіод відключиться при переході схеми в режим ОХОРОНА (D D 4 / 5 - лот «0») - це виключає розряд елементів живлення за рахунок струму, що протікає через світлодіод. Здвоєний світлодіод HL1 можна замінити двома будь-якими звичайними, але з різним кольором світіння. Зелений світлодіод служить також для індикації режимів роботи моргає). При цьому для того щоб знизити струм споживання схемою, напруга на нього подається короткими імпульсами з виходу D D 7 / 10. Через інерції зору це непомітно.

Запис в регістр проводиться при появі імпульсу на вході 2D D 1 / 6 - спочатку це відбувається при спрацьовуванні датчика F1 (тригер на D D 4.2, D D 4.3 переключиться). Управління регістром 2D D 1 вибрано так, що на його виходах сигнал є інверсним по відношенню до вхідних (у початковому стані на висновках 13,15, 14 і 1 буде лог «1») Логічні елементи 2D D 2 забезпечують на виходах лог «1» , що еквівалентно підключенню до гнізда Х S З нормально розімкнутих датчиків.

Наявність виходів від кожного тригера регістру дозволяє перетворювати послідовний код на вході D в паралельний, що знімається з виходів QO ... Q3. З одного корпусу ІС типу ІР2 можна організувати восьмирозрядний регістр з послідовним введенням інформації і паралельним зчитуванням. Для цього достатньо встановити перемички між висновками 6 і 14, 1 і 9, 10 і 15.

В якості датчиків, що встановлюються на дверях, вікнах та інших місцях можуть застосовуватися як звичайні, промислового виготовлення (СМК-1, Дімка) на розмикання, так і будь-які інші (ультразвукові, ємнісні, інфрачервоні і т.д.), що мають релейний вихід при спрацьовуванні. До одного охоронного шлейфу може підключатися багато датчиків, замкнутих у кільце так, щоб при розмиканні будь-якого з них розривалася ланцюг.

Розрахуємо споживану потужність кожного з елементів: 1.3.4.1. потужність споживана інтегральними мікросхемами:

Р DD 1 = I ПОТ * U ІП = 0,042 * 5 = 0,21 Вт;

Р DD 2 = I ПОТ * U ІП = 0,035 * 5 = 0,175 Вт;

Р DD 3, DD 5 = ​​I ПОТ * U ІП * 7 = = 1,68 Вт;

Р DD 4 = I ПОТ * U ІП = 0,051 * 5 = 0,255 Вт;

Р DD 6 = I ПОТ * U ІП * 4 = 0,045 * 5 * 4 = 0,9 Вт;

Р DD 1 - DD 6 = Р DD 1 + Р DD 2 + Р DD 3, DD 5 + Р DD 4 + Р DD 6 = 0,21 + 0,175 + 1,68 + + 0,255 + 0,9 = 3,22 Вт

1.3.4.2 потужність споживана транзисторами:

Р VT 1, VT 2, VT 3, VT 4, VT 5, = (2 * Р VT 1 + 3 * Р VT 2 + Р VT 4) = 0,3 * 2 + 0,25 * 3 + 0,5 = 1,85 Вт;

1.3.4.3 потужність споживана резисторами R1-R12:

Р R 1 - R 14, R 16 - R 23, R 24 = 2,85 + 0,5 = 3,35 Вт;

1.3.4.4 потужність споживана діодами КДС627А:

Р VD 5 = ​​(I П P * U ПР) * = 1,3 * 0,2 = 0,26 Вт;

1.3.4.6 потужність споживана діодами КД521А:

Р VD 1 - VD 4 = (I П P * U ПР) * 4 = (1 * 0,05) * 4 = 0,2 Вт;

1.3.4.6 потужність споживана діодами Д247Б:

Р VD 6 = (I П P * U ПР) = (1,5 * 0,05) = 0,075 Вт;

1.3.4.7 потужність споживання багатоканальної системи сигналізації:

Р = Р DD 1 - DD 6 + Р VT 1 - VT 5 + Р R 1 - R 14, R 15, R 16 - R 24 + Р VD 5 + Р VD 1 - VD 4 + Р VD 6 = 3,22 + 1,85 + 3,35 + 0,26 + 0,2 + 0,075 = 8,955 Вт

1.4 Розробка блоку (системи) електроживлення

Джерело живлення в особливих пояснень не потребує, так як зібраний за типовою схемою. Комутація напруги харчування здійснюється контактами поляризованого реле К1, що виконує роль тригера. Особливістю такого реле є здатність підтримувати перемикаючі контакти в потрібному положенні при відсутності напруги на обмотці - досить короткочасної подачі напруги на відповідну обмотку, щоб переключити групу контактів. В якості резервного джерела живлення G1 можуть застосовуватися 10 акумуляторів типу НКГЦ - 0,5 або більш потужні. Принципова схема наведена на малюнку 1.4.1.

Малюнок 1.4.1. Принципова схема джерела живлення

Підбираємо мікросхему стабілізації напруги DA1 КР142ЕН8Б. Підбираємо реле перемикання харчування К1 РЕС32Б РС4 520.204. Підбираємо стандартний трансформатор ТН5-220-50. Підбираємо діоди КД521А.

2. Конструкторсько-технологічний розділ

2.1 Розробка друкованої плати

Друковані плати являють собою діелектричну пластину з нанесеним на неї струмопровідним малюнком (друкованим монтажем) та отворами для монтажу елементів.

При конструюванні РЕА на друкованих платах використовують такі методи:

1. Моносхемний застосовують для нескладної РЕА. У тому випадку вся електрична схема розташовується на одній ПП. Моносхемний метод має обмежене застосування, оскільки дуже складні ПП незручні при налаштуванні та ремонті РЕА. Недолік - складність системи сполучних проводів, що зв'язують окремі плати.

2. Функціонально-вузловий метод застосовують в РЕА з використанням мікроелектронних елементів. При цьому ПП містить провідники комутації функціональних модулів в єдину схему. На одній платі можна зібрати дуже складну схему. Недолік цього методу - різке збільшення складності ПП. У ряді випадків всі провідники не можуть бути розташовані на одній і навіть обох сторонах плати. При цьому використовують багатошарові друковані плати МПП, що поєднують в єдину конструкцію кілька шарів друкованих провідників, розділених шарами діелектрика. Відповідно до Госту розрізняють три методи виконання ПП:

3. ручний;

4. напівавтоматизованих;

5. автоматизований;

Кращими є напівавтоматизованих, автоматизований методи.

Метод металізації наскрізних отворів застосовують при виготовленні багатошарових друкованих плат. Заготівлі з фольгованого діелектрика відрізають з припуском 30 мм на сторону. Після зняття задирок по периметру заготовок і в отворах, поверхню фольги захищають на крацевальном верстаті і знежирюють хімічно соляною кислотою у ванні. Малюнок схеми внутрішніх шарів виконують за допомогою сухого фоторезиста. При цьому протилежна сторона плати повинна не мати механічних ушкоджень і подтравливания фольги. Базові отвори отримують висвердлюванням на універсальному верстаті з ЧПК. Орієнтуючись на мітки суміщення, розташовані на технологічному полі. Отримані заготовки збирають в пакет. Перекладаючи їх складними прокладками з склотканини, що містять до 50% термореактивною епоксидної смоли. Поєднання окремих верств проводиться з базових отворів. Пресування пакету здійснюється гарячим способом. Пристрій з пакетами верств встановлюють на плити преса, підігріті до 120 ... 130 ° С. Перший цикл пресування здійснюють при тиску 0,5 МПа і витримці 15 ... 20 хвилин. Потім температуру підвищують до 150 ... 160 ° С, а тиск - до 4 ... 6 МПа. При цьому тиску плата витримується з розрахунку 10 хвилин на кожен міліметр товщини плати. Охолодження ведеться без зниження тиску. Свердління отворів виконується на універсальних верстатах з ЧПК СМ-600-Ф2. У процесі механічної обробки плати забруднюються. Для усунення забруднення отвори піддають гідроабразивному впливу. При великій кількості отворів доцільно застосовувати ультразвукову очищення. Після знежирення та очищення плату промивають у гарячій і холодній воді. Потім виконується хімічну і гальванічну металізації отворів. Після цього видаляють маску. Механічна обробка по контуру, отримання конструктивних отворів здійснюють на універсальних, координатно-свердлильних верстатах. Вихідний контроль здійснюється автоматизованим способом на спеціальному стенді, де відбувається перевірка працездатності плати, тобто її електричних параметрів. Потім йде операція гальванічного осадження міді. Операція проводитиметься на автооператорной лінії АГ-44. На тонкий шар осідає мідь до потрібної товщини. Після цього проводиться контроль на товщину міді і якість її нанесення. Далі проводитися обробка по контуру друкованої плати.

У цій операції видаляється непотрібний склотекстоліт по краях плати і підгонка до потрібного розміру. Потім методом сеткографіі проводитись маркування друкованої плати. Весь цикл виробництва друкованих плат закінчується контролем плати. Тут використовується автоматизована перевірка на спеціальних стендах.

2.2 Компонування проектованого пристрою

Процес компонування елементів проектованої мною багатоканальної системи сигналізації можна підрозділити на кілька етапів:

Функціональна компоновка - це розміщення та встановлення функціональних елементів на друкованих платах з урахуванням функціональних та енергетичних вимог, а також щільності компонування і встановлення елементів, щільності топології друкованих провідників. Функціональна компонування проводиться для визначення основних розмірів друкованої плати, вибору способів її проектування і виготовлення. Перш ніж приступити до виготовлення друкованої плати, потрібно зробити її малюнок, тобто скомпонувати всі радіоелементи та мікросхеми. Компонування пристрою на увазі під собою приблизне розташування на друкованій платі радіоелементів і мікросхем, що входять до складу пристрою. Для визначення положення елементів на платі в першу чергу роблять малюнок плати відповідно до заданими габаритами пристрою, далі компонуються всі радіоелементи і мікросхеми на малюнку відповідно до їх реальними розмірами. Після розташування радіоелементів і мікросхем наносяться отвори для контактних майданчиків і отвори для кріплення друкованої плати в корпусі пристрою.

Заключним етапом є проведення з'єднувальних ліній (друкованих провідників) відповідно до принципової схемою пристрою.

Внутрішня компоновка - полягає в розміщенні входять до складу нашого пристрою блоків всередині його корпусу з урахуванням вимог зручності складання, контролю, ремонту, механічного та електричного з'єднання, вимог щодо забезпечення оптимального теплового режиму та ергономіки.

Зовнішня компонування - це компонування пристрою в конструкціях старшого рівня, наприклад у складі робочого місця студента, при цьому, перш за все, враховуються ергономічні вимоги. До ергономічним критеріям компонування розробляється нами приставки відносяться: ефективність роботи та збереження здоров'я в процесі експлуатації.

2.3 Пошук і усунення несправностей

Визначення, відшукання несправностей в процесі ремонту будь-якого пристрою є найбільш трудомісткою операцією, що вимагає більшої уваги та майстерності. Знайти несправність, значить, знайти відмовив елемент, блок, модуль і т.д. У процесі ремонту можна виділити чотири етапи: встановлення факту наявності несправності; виявлення її характеру; усунення несправності і перевірка пристрою після ремонту.

Статистикою встановлено, що на виявлення наявності несправності в середньому витрачається близько 3% від загального часу на ремонт, на виявлення характеру несправності - близько 60%, на усунення несправності - 15% і на перевірку параметрів після ремонту - 22%.

Наведені дані показують необхідність скорочення часу на виявлення характеру несправності. Це можливо завдяки використанню діагностичного обладнання і пристосувань. Крім того, конструкція будь-якого радіоелектронного пристрою передбачає підключення спеціальних індикаторних пристроїв (наприклад, світлодіодів).

Будь-який фахівець, в тому числі і технік-електронік повинен знати правила і методику пошуку несправностей.

Існує кілька способів відшукання несправностей. Вибір того чи іншого способу залежить від призначення пристрою і особливостей схеми. Тому від техніка-електроніка потрібне гарне знання, як мінімум принципової схеми і конструкції ремонтується пристрою. Усі несправності будь-якого радіо електричного пристрою можна підрозділити на механічні та електричні.

До механічних несправностей відносяться несправності в механічних вузлах пристрою (для мого випадку, до даного типу несправностей можна віднести вихід з ладу перемикачів входять до складу блоку завдання вихідної інформації і блок індикації).

До електричних несправностей відносяться такі, які призводять до зміни електричного опору кіл (наприклад, до обриву ланцюга), Значному збільшенню опору, значного зменшення його або короткого замикання. Для мого пристрою до таких несправностей можна віднести: вихід з ладу резисторів, конденсаторів, мікросхем, і т.п.

При пошуку несправностей радіоелектрічекого пристрої застосовують п'ять способів:

  1. Зовнішній огляд дозволяє виявити більшість механічних несправностей, а також деякі електричні. Зовнішнім оглядом перевіряється якість збірки і монтажу. При перевірці якості складання вручну слід перевірити механічне кріплення окремих вузлів, таких як перемикачі, змінні резистори, штепсельні з'єднання (роз'єми). У разі порушення кріплення воно відновлюється. Зовнішнім оглядом перевіряють також якість електричного монтажу. При цьому виявляють: цілісність сполучних провідників, наявність набряків припою, які можуть призвести до коротких замикань між окремими ділянками схеми, виявляють дроти з порушеною ізоляцією, перевіряють якість пайок і т.п.

Зовнішнім оглядом можна переконатися в правильності номіналів резисторів і конденсаторів (блоку живлення), виявити дефекти окремих елементів (обрив висновків, резисторів, механічне пошкодження керамічних конденсаторів та інші).

Зовнішній огляд, як правило, роблять при відключеному харчуванні апаратури. При його проведенні особливу увагу необхідно звертати на те, щоб в монтаж не потрапили випадкові предмети, які при включенні пристрою можуть викликати коротке замикання.

Зовнішнім оглядом можна виявити несправний светоелемент (за яскравістю), резисторів (щодо зміни кольору або обвуглювання поверхневого шару) та інших елементів.

У включеному стані можна визначити перегрів трансформаторів, електролітичних конденсаторів, напівпровідникових елементів. Поява запахів від перегрітих обмоток, резисторів, просочувального матеріалу трансформаторів також сигналізує про наявність несправностей у схемі пристрою. Про несправність може свідчити і зміна частоти або тону звукових коливань повітряного середовища, що викликаються роботою трансформаторів і інших елементів, які зазвичай або взагалі не чути під час роботи, або мають звучання іншого тону.

Для перевірки відсутності коротких замикань використовують омметр. В якості опорної точки найчастіше беруть плюс або мінус джерела живлення. Іноді вхід огляду виникає сумнів у справності окремих елементів. Тоді слід випаяти елемент і перевірити його справність більш ретельно.

2) Спосіб проміжних вимірювань - полягає в послідовній перевірці проходження сигналу від блоку до блоку до виявлення несправної ділянки.

3) Метод виключення - полягає в послідовному виключенні справних вузлів і блоків.

4) Спосіб заміни окремих елементів, вузлів чи блоків на свідомо справні, широко використовується при ремонті радіо електричних пристроїв. Наприклад, можна замінити елемент (транзистор, трансформатор, мікросхему) або блок на завідомо справний і переконатися в наявності несправності на цій ділянці.

5) Спосіб порівняння - полягає в порівнянні параметрів несправного апарату з параметрами справного апарату того ж типу або марки.

Використання того чи іншого способу пошуку несправності залежить від здібностей схеми пристрою.

Пошук несправностей здійснюють за певним правилом (алгоритму), що дозволяє максимально скоротити час їх відшукання. Пошук проводиться поетапно, від більших конструктивних одиниць до більш дрібним, тобто в послідовності: Блок - Вузол (модуль) - Каскад - Несправний елемент.

При перевірці окремих елементів схеми слід переконатися у справності постійних резисторів, як зовнішнім оглядом, так і перевіркою омметром. При справному резистори омметр повинен показати номінальне значення опору.

Неелектролітіческіе конденсатори можна перевірити на пробій омметром. У випадку пробою омметр покаже коротке замикання. Множник омметра при перевірці конденсаторів необхідно поставити в положення «x 100» або «x 1000». Конденсатори при подібній перевірці дають відхилення стрілки приладу вправо і швидке її повернення в початкове положення до позначки ¥. Електролітичні конденсатори також перевіряють омметром. Для цього перемикач омметра треба встановити на «x 100» або «x 1000». Подальша перевірка конденсатора аналогічна попередньому, тільки стрілка в початкове положення буде повертатися повільніше.

Конденсатори при заміні вибирають за номінальною ємності, робочій напрузі, класу точності, температурному коефіцієнту ємності (ТКЕ). Номінальні значення ємностей конденсаторів наведені в довідниках.

Обмотки трансформатора. При обриві обмотки омметр покаже нескінченно великий опір. При заміні трансформаторів враховується приводиться в довідкових даних маркування їх виходів. Несправні трансформатори замінюють на аналогічні.

Справність напівпровідникових приладів можна також перевіряти омметром. Опір діода у зворотному напрямку буде набагато більше, ніж у прямому. Такий діод справний. В іншому випадку діод слід замінити.

Транзистори також перевіряють омметром, для цього омметр підключають між базою і емітером. У першому випадку прилад покаже малий опір, в другому - порівняно велика.

Напівпровідникові прилади (транзистори, діоди і мікросхеми) мають різне маркування виходів. При заміні використовують прилади того ж типу або їх аналоги, які вказуються в переліку елементів схеми.

3. Економічний розділ

3.1 Розрахунок витрат на сировину і матеріали

См = S Н i * Ц i,

де См - вартість сировини і матеріалів, руб.;

Н i - норма витрати i-го матеріалу, в натуральних показниках;

Ц i - ціна за одиницю виміру i-го матеріалу, грн.

Результати розрахунків оформляємо в таблицю:

Таблиця 3.1 - Розрахунок витрат на сировину і матеріали

n / n

Найменування матеріалу

Одиниці виміру

Норма витрати на пристрій

Ціна за од. виміру, (грн.)

Сума, (грн.)

1

2

3

4

5

6

1


2


3


4


5


6

Каніфоль соснова

ГОСТ 19113-72

Припої 61

ГОСТ 21931-76

Хлорне залізо

ТУ6-09-3084-82

Фарба ТНПФ-53 чорна

Ацетон (уайт-спірит) ГОСТ 2603-79

Склотекстоліт СФ-2-35 Г. - 1,5 1с

ГОСТ 10316-78


кг


кг


кг


кг


кг

кг


0,0 8


0,0 5


0,0 7


0,0 4


0,0 7

0, 1


16 500


9 630


3 000


14 240


3 070

9 330


1320


481,5


210


569,6


214,9

933

7

Флюс ФКТ ОСТ 4го.

033.020

кг

0,0 4

7 590

303,6

Разом:

4032,6

3.2 Розрахунок витрат на покупні комплектуючі вироби і напівфабрикати

Ск = S (К i * Ц i,)

де Ск - вартість покупних комплектуючих виробів та напівфабрикатів на один пристрій, руб.

До i - кількість комплектуючих виробів та напівфабрикатів i-го найменування на один пристрій, шт.

Ц i - ціна за одиницю, крб.

Результати розрахунків оформляємо в таблицю:

Таблиця 3.2 - Розрахунок витрат на покупні комплектуючі вироби і напівфабрикати

п / п

Найменування комплектуючих виробів і напівфабрикати

Кількість на 1 пристрою

Ціна за одиницю (грн.)

Сума (грн.)

1

2

3

4

5

1

561 ЛП2

1

2000

2000

2

561ІР2

1

2000

2000

3

561ЛЕ5

3

2000

6000

4

561ІЕ11

1

2000

2000

5

561ЛА7

1

2000

2000

6

561ІЕ16

1

2000

2000

7

561ЛЕ10

1

2000

2000

8

К561КТ3

2

2000

4000

9

К561ИЕ8

1

2000

2000

10

КР142ЕН8Б

1

1500

1500

11

Резистори МЛТ - 0,125 хх 5%

27

100

2700

12

Конденсатори К10-17

5

400

2000

13

Конденсатори К52-1Б

10

400

4000

14

Діоди КД521А

17

100

1 700

15

Діод КДС627А

1

200

200

16

Діод Д247Б

1

200

200

17

Діод КС139А

1

200

200

18

Реле РЕС48

3

800

2400

19

Роз'єми МРН14-1

4

100

400

20

Трансформатор ТП2-220-50

1

5500

5500

21

Індикатор

1

500

500

Разом:

45500

3.3 Розрахунок тарифної заробітної плати виробничих робітників

ЗПтар = S (Сч ij * Т e i),

де ЗПтар - тарифна заробітна плата виробничих робітників, руб.;

Сч ij - годинна тарифна ставка по i-тої операції, j-го розряду робіт, руб.;

Т e i - трудомісткість i-тої операції, люд.-год.;

Результати розрахунків оформляємо в таблицю:

Таблиця 3.3 - Розрахунок тарифної заробітної плати виробничих робітників

п / п

Найменування операції

Розряд

робіт

Годинна

тарифна ставка (грн.)

Трудомісткість, (чол.-год.)

Сума тарифної зарплати, (грн.)

1

2

3

4

5

6

1

Слюсарні

2

922

2

1844

2

Регулювальні

3

1 073

5

5365

3

Контрольні

4

1 248

4

4992

4

Лакофарбові

2

922

3

2766

5

Монтажні

3

1 073

5

5365

Разом заробітна плата тарифна (ЗПтар):

20332

3.4 Розрахунок основної заробітної плати виробничих робітників

ЗПосн = ЗПтар + Пр

Зпосн = 20332 +6099,6 = 26431,6 крб.,

де ЗПосн - основна заробітна плата виробничих робітників, руб.;

Пр - сума премії, руб.

Пр = ЗПтар / 100 * 30 = (20332/100) * 30 = 6099,6 руб.

де% Пр - відсоток премії основним виробничим робітникам - 30%.

3.5 Розрахунок додаткової заробітної плати виробничих робітників (ЗПдоп)

ЗПдоп = ЗПосн * 8,1 / 100,

де 8,1 -% додаткової зарплати по підприємству.

ЗПдоп = 26431,6 * 8,1 / 100 = 2140,9 руб.

3.6 Розрахунок відрахувань від заробітної плати виробничих робітників (ОЗП)

а) до фонду соц. захисту - 35% від заробітної плати;

б) відрахування по обов'язковому страхуванню - 0,3%.

ОЗП = 0,353 * (ЗПосн + ЗПдоп)

ОЗП = 0,353 * (26431,6 + 2140,9) = 10086 руб.

3.7 Разом прямі витрати (Зпр)

Зпр = М + ЗПосн + ЗПдоп + ОЗП

Зпр = 49532,6 + 26431,6 + 2140,9 + 10086 = 88191,1 крб.

3.8 Розрахунок накладних витрат (Нр)

Нр = ЗПосн / 100 * 169,2 = (26431,6 / 100) * 169,2 = 44722,3 крб.

де 169,2 - відсоток накладних витрат по підприємству за базисний період,%.

3.9 Калькуляція собівартості проектованого пристрою. Розрахунок відпускної ціни проектованого пристрою

Розрахунки оформляємо таблично

Таблиця 3.4

n / n

Статті витрат калькуляції

Умовні позначення

Сума, руб.

1

2

3

4

1

Матеріальні витрати

М

49532,6

2

Заробітна плата виробничих робітників

ЗПосн + ЗПдоп

28572,5

3

Відрахування від заробітної плати виробничих робітників

ОЗП

10086

4

Разом прямі витрати

Зпр

88191,1

5

Накладні витрати

Нр

44722,3

6

Разом повна собівартість (С = Зпр + Нр)

З

132913,4

7

Планова прибуток (П = Ур * З / 100; де Ур - рівень планової рентабельності 20%)

П

26582,7

8

Збір в республіканський фонд підтримки виробників сільськогосподарської продукції, продовольства і аграрної науки - 2%, Сб = (С + П) * 2 / (100 - 2).

Сб

3255

9

Разом вартість проектованого пристрою без ПДВ Рндс = С + П + Сб

Рндс

162751,1

10

Податок на додану вартість ПДВ = (С + П + Сб) * 18/100

ПДВ

29295,2

11

Відпускна ціна проектованого пристрою з урахуванням ПДВ

Цо = С + П + Сб + ПДВ,

Цо

192046,3

У результаті проведених розрахунків були визначені наступні економічні показники:

  1. Матеріальні витрати - 49532,6 руб.

  2. Основна заробітна плата - 26431,6 руб.

  3. Повна собівартість - 132913,4 руб.

  4. Відпускна ціна - 192046,3 руб.

Розрахунки по даному пристрою були проведені за даними підприємства РУП «Гомель ОТІ» за квітень 2008 року.

4. Охорона праці

При виконання монтажних, слюсарних і столярних робіт доводиться мати справу і з високим, і з гострими і швидко обертаються інструментами або ланками механізмів, і з агресивними хімічними речовинами.

Для запобігання від ураження високою напругою забороняється виконувати електромонтажні роботи в працюючій радіоапаратурі. Зняття статичного заряду забезпечується застосуванням заземлювального браслета. Можна використовувати звичайний металевий браслет від годинника, з'єднаний з проводом заземлення через резистор опором 1 МОм.

При роботі з електричним паяльником треба дотримуватися таких правил:

  1. Періодично перевіряти омметром відсутність замикання між корпусом паяльника і нагрівальним елементом. Таке замикання може стати причиною ураження струмом і псування припаюємо елементів. Тому рекомендується працювати з паяльником, жало якого заземлене.

  2. Використовувати стійку підставку для паяльника, що обереже його від падіння, а працює від опіків.

  3. Ні в якому разі не виконувати пайку в пристроєм, що працює, так як випадкове замикання може вивести пристрій з ладу і бути причиною травми.

При роботі зі слюсарними інструментами треба бути уважним і акуратним, щоб не поранитися різаком, напилком, лобзиком, свердлом або оброблюваної деталлю. Для цього необхідно:

  1. Надійно затиснути свердло в патроні дриля спеціальним ключем.

  2. Просвердлюємо деталь надійно закріплювати, інакше вона в кінці свердління може почати обертатися разом зі свердлом.

  3. Вирубання фасонних отворів треба виконувати обов'язково на масивній металевій підставці.

  4. При роботі з різаками обов'язково підкладати під розрізаний лист фанерну прокладку, щоб не пошкодити підлогу.

При роботі з хімічними речовинами слід суворо дотримуватися всіх рекомендацій по розчиненню, змішування, послідовності виконання операцій і температурному режиму. Працювати необхідно в халаті, а в окремих випадках - в рукавичках і захисних окулярах. Перш за все необхідно оберігати очі, губи і слизисті оболонки носа і горла, які найбільш чутливі до впливу хімічних речовин.

На ділянці тіла, обпаленого паяльником або бризками припою, треба зробити содову примочку, а потім уражене місце змазати вазеліном. Місця опіків кислотами рясно промити водою і змочити содовим розчином. Місце опіку лугами потрібно рясно обмити розчином оцтової (лимонної або борної) кислоти. При порізах і подряпинах ранку обробити розчином йоду і заклеїти лейкопластирем.

5. Енерго - і матеріалосбереженіе

Для ефективного матеріалосбереженія світлодіодним інформаційної панелі мною були зроблені наступні кроки:

  1. Зменшено розмір друкованої плати шляхом більш щільного компонування радіоелементів, що зекономило текстоліт, що витрачаються на виготовлення плати.

  2. Друковану плату можна виготовити і без застосування хімікатів. Плату необхідних розмірів вирізують з фольгированного матеріалу, свердлять всі необхідні отвори і наносять на неї малюнок друкованого монтажу. Контури обводять гострим шилом.

Крім проблеми матеріалосбереженія існує ще один не менш важливий параметр, як енергозбереження.

Проблема енергозбереження в Республіці Білорусь зведена в ранг державної політики. Поряд з цим була створена республіканська система управління процесом енергозбереження. Верхньою ланкою цієї системи є державний комітет з енергозбереження та енергонагляд, який був створений в 1993 р. Даним комітетом у 1998 р. був прийнятий закон про енергозбереження, який оголошує всі проблеми сучасності пов'язані з Надмірне споживання, незаконним і некоректним використанням електричної, теплової та інших видів енергії.

З метою економного використання електричної енергії всі підприємства пов'язані з розробкою електричних пристроїв проектують пристрої, що випускаються в масове виробництво таким чином, щоб воно якомога менше споживало електроенергії. Для цього, наприклад, проводяться спроби до мінітюарізаціі окремих елементів, що дозволяє комбінувати їх єдині блоки невеликих розмірів. Це дає можливість зберігати електричну енергію за рахунок використання для харчування цих блоків вже одне джерело живлення, а не по одному для кожного з елементів. На спеціалізованих форумах і виставках підприємства діляться своїми новими розробками в області енергозберігаючої апаратури.

Крім наведеного прикладу існує велика кількість способів заощадження, як електричної, так і інших видів енергії.

У ході розробки системи сигналізації я постарався знизити споживання електричної енергії. У цих цілях мною було зроблено наступне:

  1. Використано мікросхеми зі зниженим енергоспоживанням;

  2. Були використані резистори малої потужності, а отже з не великим споживанням електричної енергії.

  3. Для всіх елементів використовується одне джерело живлення.

  4. Ретельно проведений розрахунок схеми для виключення зайвих функціональних вузлів.

6. Охорона навколишнього середовища

В даний час - час бурхливого розвитку і впровадження, постійно удосконалюються і оновлюються технологій виробництва кінцевої продукції - все більше уваги стало приділятися впливу цих нових технологій на навколишнє середовище. Зараз повсюдно відкриваються нові заводи і фабрики і ніхто не звертає увагу на екологічну зону, що знаходиться під наглядом цього підприємства. У результаті чого слідують грубі порушення правил природокористування з боку підприємства, найпоширеніше - забруднення прилеглих водоймищ і річок скидаються відходами виробництва. Ці дії знищують багатьох мешканців тваринного і рослинного світу; забруднюють прісну воду нафтою та відходами нафтопродуктів, речовинами органічного і мінерального походження; забруднюють грунт токсичними речовинами, золою, промисловими відходами, кислотами, сполуками важких металів та ін Також поширені випадки забруднення атмосфери. Атмосфера забруднюється промисловими викидами, що містять оксиди сірки, азоту, вуглецю, вуглеводнів, частинки пилу. Такі випадки не поодинокі, тому всі держави серйозно задумалися про контроль дотримання правил природокористування. Створюються спеціальні служби, комітети і т.д. стежать за дотриманням правил природокористування з боку підприємств. Тому нові проекти виробництва повинні проходити екологічну експертизу. Екологічна експертиза - система комплексної перевірки всіх можливих екологічних і соціально-економічних наслідків здійснення проектів і реконструкцій, спрямована на запобігання їх негативного впливу на навколишнє середовище і на вирішення намічених завдань з найменшими витратами ресурсів.

Для розвитку та дотримання правил природокористування, також застосовується екологічна освіта. У багатьох навчальних закладах, у тому числі і в нашому воно теж існує. Питаннями розвитку навколишнього середовища займається екологія - наука про взаємовідносини живих організмів та середовища їх проживання.

Раціональне вирішення екологічних проблем можливе лише при оптимальному взаємодії природи і суспільства.

Виходячи з такого стану речей, виробництво продукції та сама продукція не повинні жодним чином забруднювати навколишнє середовище. Тому на підприємствах використовуються різного роду очисні споруди. Методика очищення промислових викидів за характером протікання фізико-хімічних процесів ділять на 4 групи:

  • промивка викидів розчинниками домішок (абсорбція);

  • промивка викидів розчинами реагентів, що пов'язують домішки хімічно (хемосорбція);

  • поглинання газоподібних домішок твердими активними речовинами (адсорбція);

  • термічна нейтралізація газів, що відходять і поглинання домішок шляхом застосування каталітичного перетворення.

При розробці світлодіодним інформаційної панелі були дотримані правила природокористування. Дана конструкція не забруднює навколишнє середовище в процесі своєї роботи. Пристрій не випромінює ніяких шкідливих для здоров'я людини і природи випромінювань. При виробництві друкованої плати необхідно дотримуватися техніки безпеки, тоді ніяких шкідливих впливів на навколишнє середовище і людину не буде. При розробці і створенні корпусу використані матеріали, які не впливають на навколишнє середовище, тому що пристрій має малі габарити, то й матеріал, що витрачаються на його виготовлення, використовується раціонально і в малих кількостях.

Висновок

У ході проробленої роботи розробив пристрій, який побудовано за модульним принципом, що дає можливість легко зібрати систему охорони, найбільш зручну для конкретних цілей - від охорони квартири до дачі. При цьому, збираючи і підключаючи до базового блоку додаткові модулі, можна легко розширювати функціональні можливості системи охорони. При розробці не застосовувалися дорогі або дефіцитні деталі, що робить схеми легко доступними, а використання модульного принципу побудови спростило окремі вузли системи.

Всі схеми зібрані на широко поширених «МОП мікросхемах, що забезпечує мінімальне споживання струму, роботу в широкому діапазоні живлячої напруги (4 ... 15 В) і високу надійність. Тимчасові інтервали, необхідні для роботи системи, отримані без використання електролітичних конденсаторів, що також підвищує надійність і розширює діапазон робочих температур.

Список використаних джерел

  1. Ланцов А.Л., Зворикін Л.М., Осипов І.М. Цифрові пристрої на комплементарних МДП інтегральних мікросхемах. - М.: Радіо і зв'язок, 1993.

  2. Бірюков С.А. Цифрові пристрої на МОП-інтегральних мікросхемах. - М.: Радіо і зв'язок, 1998.

  3. Шевкопляс Б.В. Мікропроцесорні структури. Інженерні рішення: Довідник, 2-е вид. перераб. і доп. - М.: Радіо і зв'язок, 1997.

  4. Шевкопляс Б.В. Мікропроцесорні структури. Інженерні рішення: Довідник. Доповнення перше. - М.: Радіо і зв'язок, 1999.

  5. Пухальський Г.І., Новосельцева Т.Я. Проектування дискретних пристроїв на інтегральних мікросхемах: Довідник. - М.: Радіо і зв'язок, 2002.-с. 254

  6. Волков С. Генератори прямокутних імпульсів на МОП-елементах. Зменш. пер. з болгарського, - М.: Вища школа, 1999.

  7. Хоровіц П., Хілл У. Мистецтво схемотехніки: У 3-х томах. Пер. з англ. - 4-е вид. перераб. і доп. - М.: Світ, 2004.

  8. Шило В.Л. Популярні цифрові мікросхеми: Довідник. - М.: Радіо і зв'язок, 1997.

  9. Аналогові і цифрові інтегральні мікросхеми: Довідкове посібник; під ред. С.В. Якубовського - 2-е вид. перераб. і доп. - М.: Радіо і зв'язок, 1984.

  10. Кізлюк А.І. Довідник з улаштування та ремонту телефонних апаратів зарубіжного та вітчизняного виробництва. - М.: Бібліон, 1995.

  11. Бірюков С. Завадостійке система радіоуправління. Радіоежегоднік - 99; - М.: ДОСААФ, 1999.

Додати в блог або на сайт

Цей текст може містити помилки.

Комунікації, зв'язок, цифрові прилади і радіоелектроніка | Диплом
167.5кб. | скачати


Схожі роботи:
Системи пожежної сигналізації
Системи охоронної сигналізації
Проектування системи охоронної сигналізації 2
Проектування системи охоронної сигналізації
Модернізація системи охоронної сигналізації в ТОВ Мотексавтозапчаст
Модернізація системи охоронної сигналізації в ТОВ Мотексавтозапчасті
Розр т собівартості виконання робіт системи охоронної сигналізації музею Г Р Державіна
Розробка системи теплопостачання
Розробка системи зі збору інформації
© Усі права захищені
написати до нас