Шина розширення ISA

[ виправити ] текст може містити помилки, будь ласка перевіряйте перш ніж використовувати.

скачати

Зміст
Введення
1. Літературний огляд на тему
2. Постановка завдання
3. Вибір і обгрунтування структурної схеми пристрою
4. Розробка інтерфейсної частини схеми ПУ
4.1 Інтерфейс шини ISA
4.2 Опис роботи інтерфейсної частини пристрою
5. Опис роботи принципової схеми
5.1 Вибір елементної бази
5.2 Вибір інтегральних мікросхем
6. Розробка графічного структурного алгоритму програми
6.1 Розробка прикладної програми і опис її можливостей
6.2 Лістинг програми
Висновок
Література

Введення

Всі різноманітні засоби цифрової техніки: ЕОМ, мікропроцесорні системи вимірювань і автоматизації технологічних процесів, цифровий зв'язок і телебачення тощо будуються на єдиній елементній базі, до складу якої входять надзвичайно різні за складністю мікросхеми - від логічних елементів, що виконують найпростіші операції, до найскладніших програмованих кристалів, що містять мільйони логічних елементів.
Під периферійними пристроями прийнято розуміти будь-які пристрої машини, що не входять до складу процесора і оперативного запам'ятовуючого пристрою і виконують зовнішні функції машинної обробки інформації. До них відносяться пристрої підготовки даних, пристрої введення - виведення, накопичувачі, апаратура передачі даних і ряд інших технічних засобів ЕОМ.
У розвитку периферійних пристроїв спостерігається тенденція до збільшення швидкості передачі даних, розширення їх номенклатури і виконуваних функцій. Помітно зросла різноманітність пристроїв, у яких при введенні здійснюється перетворення інформації з уявлення, що використовується людиною, в машинний код, а при виводі - зворотне перетворення. У ряді випадків периферійні пристрої єднають не одне, а декілька функцій (підготовку, введення, виведення та ін.)
Шина ISA - шина розширення, що застосовувалася в перших моделях PC і стала промисловим стандартом. У комп'ютері XT використовувалася шина з розрядністю шини даних 8 біт і адреси 20біт. У комп'ютерах AT її розширили до 16 біт даних і 24 біт адреси
У даному курсовому проекті необхідно розробити нестандартне периферійний пристрій "термостабілізатора з ізольованим датчиком". У його основу покладено пристрій, запропоноване журналом "Радіо". В якості інтерфейсу сполучення взятий інтерфейс ISA.

1. Літературний огляд на тему

Існує велика кількість моделей термостабілізатора - від обігрівають невеликі теплиці до моделей масштабів підприємства. Розроблювальний пристрій відноситься до класу малопотужних малогабаритних термостабілізатора. Наприклад, у статті Володимира ШАШІН "Програмований термостабілізатор" [1] описується однойменний пристрій. Необхідність в цьому пристрої виникла під час вирішення завдання контролю і стабілізації температури в технологічних процесах на одному з підприємств. Для нагрівання і охолоджування там використовувався пар з котельні і холодна вода з артезіанської свердловини, які через заслінки подавалися в теплообмінники, бойлери, сорочки охолодження і т.д. Заслінки на трубопроводах були двох типів: чисто пневматичні мембранного типу з камерою, тиск стисненого повітря в якої визначало її положення і електромеханічні з реверсіруемим двигуном. Результатом проведеної роботи стало пропонований пристрій, що дозволяє керувати заслінками обох типів за допомогою двох потужних ключів на симистора.
При розробці термостабілізатора з симистором в якості комутуючого нагрівач-елементу доводиться приділяти велику увагу ізоляції вимірювальної ланцюга від електричного кола. Найчастіше для цього в ланцюзі управління симистором встановлюють оптрон, а вузол вимірювання температури живлять через понижуючий трансформатор, що працює на частоті мережі 50 Гц. Автор статті С. Безюлев пропонує оригінальне рішення проблеми, що дозволяє обійтися без оптрона і мережевого трансформатора і при цьому значно знизити вагу і габарити пристрою.
Специфікація шини ISA була взята з книги, а також з офіційної специфікації шини. У загальному вигляді шину ISA можна представити так:

Рис.1.1 Умовне позначення шини ISA
Як видно з малюнка, шина виконана у вигляді двох щілинних роз'ємів з кроком висновків 2.54 мм. У підмножині ISA-8 використовується тільки 64-контактний слот (ряди C, D). Як зазначено в офіційній специфікації, шина ISA забезпечує можливість звернення до 8 - мул 16-бітним регістрам пристроїв, відображеним на простору вводу-виводу. У PC була прийнята 10-бітна адресація вводу-виводу, при якій лінії адреси A [15; 10] пристроями ігнорувалися. Таким чином, діапазон адрес пристроїв цієї шини обмежується областю 100h-3ffh.
Схема термостабілізатора з ізольованим датчиком взята з однойменної статті журналу "Радіо" N # 2 за 2003 р.

2. Постановка завдання

У рамках даного курсового проектування необхідно розробити нове периферійне пристрій - терморегулятор з ізольованим датчиком, взявши за основу пристрій, розроблений С. Безюлевим і запропонованим журналом "Радіо" № 2, 2003.
Розроблюване в цьому курсовому проекті пристрій повинен працювати під управлінням програми на комп'ютері сімейства IBM PC під управлінням операційної системи MS-DOS, або в 32-бітної середовищі Windows в режимі емуляції DOS-оточення.
Необхідне програмне забезпечення повинне бути написане в рамках даного проекту для управління пристроєм.
Згідно з завданням, необхідно передбачити:
наявність буфера даних, який буде забезпечувати постійне зберігання даних у пристрої управління;
схема синхронізації по умові завдання відсутня, схема не має команд зворотного зв'язку та підтвердження доставки;
новий пристрій має узгоджуватися з комп'ютером за допомогою інтерфейсу сполучення ISA;
Також у схемі передбачено наявність селектора адреси на дешифратор, що дозволяє пристрою "зрозуміти", що запитуваний адресу пристрою по шині адреси - це базова адреса даного пристрою, і почати роботу.
Слід зауважити, що погодити схему Безюлева з шиною ISA без змін у схемі автора, просто неможливо, тому що пристрій харчується від мережі 220В, причому харчування нестабільно і не має спільної точки. Підключивши його до ISA отримаємо результат впливу міжфазових струмів - згорілу материнську плату. Розглянувши алгоритм роботи схеми, видно, що основна "родзинка" схеми - високочастотний трансформатор, який включений в плече ключа на транзисторі. Дана розв'язка і є суть ізольованого датчика. Тому, на етапі проектування було прийнято рішення зберегти дану особливість схеми, але харчування схеми взяти від шини, а управляти семистор через розв'язку на оптрон.

3. Вибір і обгрунтування структурної схеми пристрою

Структурна схема пристрою наведена у додатку А. Структурна схема складається з таких блоків:
Шина ISA - безпосередньо шина ISA;
Буфер шини даних - блок шинних формувачів, що дозволяють перемикати напрямок обміну даними, а також переводити виходу в високоімпедансний стан (Z-стан).
Аналіз управління - блок аналізу станів читання-запису, а так само керування станами регістра і шини.
Буфер шини адреси - те саме що і Буфер шини даних.
Ізольований датчик - схема датчика з трансформаторної розв'язкою, взята зі схеми автора статті.
Регістр даних (буфер) - проміжне постійне зберігання даних управління і стан.
Селектор адреси - блок дешифраторів, що оцінює адресу на шині, якщо адреса є базовим, на виході формується рівень лог.1.
Блок управління навантаженням - ключ на тиристорі, з оптронів розв'язкою.

4. Розробка інтерфейсної частини схеми ПУ

4.1 Інтерфейс шини ISA

Загальні відомості.
Шина ISA (Industrial Standart Arhitecture) була розроблена для застосування в якості системної магістралі ЕОМ на платформі Intel-80286 і є фактично стандартною шиною для персональних комп'ютерів типу IBM PC / AT і сумісних з ними.
При описі шини доцільно представити комп'ютер як складається з материнської плати (motherboard) і зовнішніх плат, які взаємодіють між собою і ресурсами материнської плати через шину. Всі пасивні пристрою (не можуть стати задачікамі) на шині можна розділити на дві групи - пам'ять і пристрої введення / виведення (порти). Цикли доступу для кожної з груп відрізняються один від одного як за часовими характеристиками, так і по вироблюваним на шині сигналам.
Чисто умовно, для зручності розуміння функціонування шини ISA, будемо вважати, що на материнській платі комп'ютера існують такі пристрої, здатні бути власниками (задатчика) шини: центральний процесор (ЦП), контролер прямого доступу в пам'ять (ПДП), контролер регенерації пам'яті (КРП ). Крім цього, задатчиком на шині може бути і зовнішня плата. При виконанні циклу доступу на шині задатчиком може бути тільки один з пристроїв. Розглянемо докладніше функції цих пристроїв на шині ISA.
Зовнішні плати можуть функціонувати в 5 різних режимах: задатчика шини, пам'яті та пристроїв введення / виводу прямого доступу, пам'яті та пристроїв введення / виводу, регенерації пам'яті або скидання. Плати можуть підтримувати будь-яку комбінацію з перших чотирьох режимів; сигналу скидання повинні підкоритися всі плати одночасно.
Адресний простір при зверненні до пам'яті.
Максимальне адресний простір при зверненні до пам'яті, підтримуване шиною ISA, 16 Мб (24 лінії адреси), але не всі слоти підтримують повністю це адресний простір. Коли задатчик на шині здійснює доступ до пам'яті на материнській платі або до пам'яті, встановленої в слот, він повинен дозволяти сигнали - MEMR або - MEMW; апаратно на материнській платі додатково вирішуються сигнали - SMEMR і - SMEMW, якщо необхідна адреса знаходиться в межах першого мегабайта адресного простору. До 8-розрядним слотам підведені тільки лінії - SMEMR і - SMEMR, SD <7 ... 0> і SA <19 ... 0>; тому зовнішні плати, встановлені у 8-розрядні слоти, можуть бути або тільки 8-розрядними пристроями вводу / виводу, або 8-ми розрядної пам'яттю в першому мегабайті адресного простору. Зовнішні плати, встановлювані в 8/16-разрядние слоти, приймають всі командні сигнали, адреси і дані; вони можуть бути як 8 -, так і 16-розрядними та адресний простір пам'яті на них може бути яким завгодно у межах 16 Мб. Цикл доступу до таких зовнішніх платам завершується як 16-розрядний, якщо плата дозволяє сигнал - I / O CS16 або - MEM CS16.
Адресний простір для пристроїв введення / виводу.
Максимальне адресний простір для пристроїв введення / виводу, підтримуване шиною ISA становить 64 Кб (16 адресних ліній). Всі слоти підтримують 16 адресних ліній. Перші 256 адрес зарезервовані для пристроїв, розташованих, як правило, на материнській платі - регістри контролера ПДП, контролера переривань, годинника реального часу, таймера-лічильника та інших пристроїв, потрібних для AT сумісності різних комп'ютерів.
Опис деяких сигналів.
SA <19 ... 0> [8] [8 / 16] Адресні сигнали цього типу надходять на шину з регістрів адреси, в яких адреса "замикається". Сигнали SA <19 ... 0> дозволяють здійснювати доступ до пам'яті лише в молодшому мегабайті адресного простору. При доступі до пристрою введення / виводу тільки сигнали SA <15 ... 0> мають дійсне значення, а стан сигналів SA <19 ... 16> не визначено. Під час виконання циклів регенерації адреси тільки сигнали SA <7 ... 0> мають дійсне значення, а стан сигналів SA <19 ... 8> не визначено і ці висновки повинні бути в третьому стані для всіх пристроїв на шині.
BALE [8] [8 / 16] Сигнал BALE (Bus Address Latch Enable - Дозвіл на "защелкивание" адреси на шині) є стробом для запису адреси по лініях LA <23 ... 17> і повідомляє ресурсів на шині, що адреса є істинним і його можна "заклацнути" в регістрі. Цей сигнал також інформує ресурси на шині про те, що сигнали SA <19 ... 0> і - SBHE істинні. При захопленні шини контролером ПДП сигнал BALE завжди дорівнює логічної "1" (виробляється на материнській платі), так як сигнали LA <23 ... 17> і SA <19 ... 0> правдиві до вироблення командних сигналів. Якщо контролер регенерації стає задатчиком на шині, то, на лінії BALE також підтримується рівень логічної одиниці, оскільки сигнали адреси SA <19 ... 0> правдиві до початку командних сигналів.
SD <7 ... 0> і SD <15 ... 8> Лінії SD <7 ... 0> і SD <15 ... 8>, як правило, ще називають шиною даних, причому по лінії SD15 передається старший значущий біт, а по лінії SD0 - молодший значущий біт. Лінії SD <7 ... 0> - молодша половина шини даних, SD <15 ... 0> - старша половина шини даних. Всі 8-ми розрядні ресурси можуть обмінюватися даними тільки з молодшої половині шини даних. Підтримка обміну даними між 16-ти розрядних задатчиком на шині і 8-ми розрядних ресурсом здійснюється перестановщіком байтів на материнській платі (табл.3.1 і рис.3.1 ілюструє його роботу).
I / OR [8] [8 / 16] Сигнал - I / OR (I / O Read - Читання пристрої введення / виведення) дозволяється задатчиком на шині для читання даних з пристрою введення / висновку за адресою, що визначається сигналами SA <15 .. .0>.
I / OW [8] [8 / 16] Сигнал - I / OW (I / O Write - Запис у пристрої введення / виведення) дозволяється задатчиком на шині для запису даних на пристрій вводу / виводу за адресою, що визначається сигналами SA <15. .. 0>.
MEM CS16 Сигнал - MEM CS16 (Memory Cycle Select - Вибір циклу для пам'яті) дозволяється 16-розрядної пам'яттю для повідомлення задатчика шини про те, що пам'ять, до якої він звертається, має 16-розрядну організацію і йому слід виконати 16-розрядний цикл доступу . Якщо цей сигнал заборонений, то тільки 8-розрядний цикл доступу може бути виконаний на шині. Пам'ять, до якої виконується цикл доступу, повинна виробити цей сигнал з адресних сигналів LA <23 ... 17>.
I / O CS16 Сигнал - I / O CS16 (I / O Cycle Select - Вибір циклу для УВВ) дозволяється 16 - розрядним УВВ для повідомлення задатчика шини про те, що УВВ, до якого він звертається, має 16-розрядну організацію і йому слід виконати 16-розрядний цикл доступу. Якщо цей сигнал заборонений, то тільки 8-розрядний цикл доступу до УВВ може бути виконаний на шині. УВВ, до якого виконується цикл доступу, повинна виробити цей сигнал з адресних сигналів SA <15 ... 0>.
I / O CH RDY [8] [8 / 16] Сигнал I / O CH RDY (I / O Channel Ready - Готовність каналу введення / виводу) є асинхронним сигналом, що виробляється тим пристроєм, до якого здійснюється доступ на шині. Якщо цей сигнал заборонений, то цикл доступу подовжується, тому що в нього будуть додані такти очікування на час заборони. Коли задатчиком на шині є центральний процесор або зовнішня плата, то кожен такт очікування по тривалості - половина періоду частоти.
SYSCLK (для тактовою частоти SYSCLK = 8 МГц тривалість такту очікування - 62.5 нс). Якщо задатчиком на шині є контролер ПДП, то кожен такт очікування - один період SYSCLK (для SYSCLK = 8 МГц - 125 нс). При зверненні до пам'яті на зовнішній платі ЦП завжди автоматично вставляє один такт очікування (якщо сигнал - 0WS заборонений), тому, якщо зовнішньої платі достатньо часу циклу з одним тактом очікування, то забороняти сигнал I / O CH RDY не потрібно.
RESET DRV [8] [8 / 16] Сигнал RESET DRV (Reset Driver - Скидання Пристрої) виробляється центральним процесором для початкової установки всіх ресурсів доступу на шині після включення живлення або падіння його напруги. Мінімальний час вирішення цього сигналу - 1 мс.
Завдяки простоті з'єднати пристрій з шиною ISA, програмування пристрою не складає труднощів. Необхідно лише порівнювати числа, що надійшли з ліній SA [19; 0] - шина адреси, з обраним адресою пристрою. Також я враховую стан ліній IOWR, IORD - ці сигнали вказують на спробу запису / читання з порту.
Селектор адреси необхідний для вибірки відповідного адреси в цьому ЗУ, за яким знаходиться яка-небудь керуюча послідовність, або нулі. При виборі адреси, який потрапляє в діапазон зарезервованих адрес пристроєм, відбувається вибірка керуючих чотирьох біт, і передача їх далі на пристрій, або елементи порівняння.

4.2 Опис роботи інтерфейсної частини пристрою

При збігу адреси на шині з базовим, формується біт дозволу на виході інвертора DD9.2. Після цього розглядаються сигнали - I / OR і - I / OW. Слід зазначити, що всі сигнали пропущені через буферні елемента мікросхеми К155АП6. Якщо на лінії зведений сигнал - I / OR, відбувається стробирование регістру зберігання, і дані з шини SD [0. .7] Записуються в цей регістр. Молодший біт регістра не розглядається, т.к використовується для запису інформації про стан датчика температури в шину. Другий біт відповідає за включення-виключення навантаження. Отже, якщо записати в другій біт 1 - включиться нагрівач, і навпаки. Решта біти даних використовуються для управління мультиплексором (ключами). Допустимі значення для цих біт:
000001 **
000010 **
000100 **
001000 **
010000 **
100000 **
Тобто в кожен момент часу повинен бути включений тільки один ключ. Ключі в свою чергу підключають в схем датчика опору різного номіналу, здійснюючи тим самим регулювання порога спрацьовування датчика.
У момент режиму - I / OW відбувається зчитування даних, аналізуючи які можна говорити про поточний стан порога датчика, включений чи ні нагрівач і спрацьовування ключа датчика. Аналізуючи отриману інформацію можна в широких межах керувати роботою регулятора.

5. Опис роботи принципової схеми

5.1 Вибір елементної бази

Вся елементна база "вітчизняна", запропоновані варіанти деталей автора прийняті і в даному проекті. Критеріїв енергозбереження, швидкості та ін у завданні немає, тому дані питання опускалися, і вибиралися компоненти по необхідному функціоналу.
Симистор.
Єдиний симистор на схемі - симистор VD2 серії КУ208Г.
Світлодіод
Для індикації роботи нагрівача ввели світлодіод HL1 серії АЛ307БМ, який служить так само як навантаження для високочастотного трансформатора.
Резистори.
У схемі використовуються резистори з опором 1, 5.6, 10, 22, 47, 68 кОм. Також присутній терморезистор RK1 серії ММТ-4.
Номінальна потужність при 70С-0.25 Вт
Робоча напруга - 200 В
Максимально допустима напруга - 400 В
Діапазон робочих температур - 55 +125 С
Температурний коефіцієнт опору - 100 ppm / С
Трансформатор
Т1 - сталевий трансформатор Ш3x6, обмотка 1 - 600, обмотка 2 - 1000 витків дроту ПЕВ-2 0.08.
Транзистори.
Всі транзистори на схемі загального призначення КТ315Г.
Конденсатори
C1 - К73-17 (0.47мк * 630В)
С2 (4700)
С3 (10мк * 25В)
С4-С5 (500мк * 16В)
Діоди
VD2 - КД209Б
VD3 - КД522А
Логічні елементи
DD1.1-DD1.4 - К561ЛП2.
Операційний підсилювач
Див. пункт 5.2
Ключі
КМОП ключ К176КТ1.

5.2 Вибір інтегральних мікросхем

У пристрої застосовані мікросхеми ТТЛ логіки серії 155, 555, 1533. Вибір мікросхем даної логіки обгрунтований рівнями сигналів ISA, відповідним рівням ТТЛ логіки. Нижче наведені мікросхеми, застосовувані в пристрої.
К155ЛН1 - містить шість логічних елементів виконують функцію НЕ. Умовне графічне зображення мікросхеми показано на малюнку 5.1.

Малюнок 5.1 - Мікросхема
К155ЛН1
Мікросхема типу КР1533АП6 містить 8 двонаправлених шинних підсилювачів з третім станом. Мікросхема має вхід перемикання напряму каналів і вхід переходу в третій стан E0. Умовне графічне позначення мікросхеми представлено на рис.5.2.

Рис.5.2 Умовне графічне позначення мікросхеми КР1533АП6.
КР155ЛЕ5 - Мікросхема представляє собою чотири логічних елемента 2І-НЕ.

Малюнок 5.3 Умовне графічне зображення мікросхеми ЛЕ5
КР1533ІД3 - являє собою дешифратор 4-хзначного двійкового коду. При високому рівні напруги на входи дозволу Е виходи встановлюються в стан високого рівня.

Малюнок 5.4 - Мікросхема КР1533ІД3
К155ЛП5 - мікросхема являє собою 4 двухвходових логічних елемента "виключає АБО".

Малюнок 5.5 Умовне графічне зображення К155ЛП5
Операційний підсилювач К140УД12
Цей тип ЗУ має наступні характеристики:
Iвх, мкА 7-50
fс, МГц 0.3-1
Uвих, в / мкс '0.1-0.8
Uпит, В + - (5% 15)
Iпотр., МА 0.03-0.6
Uсм, мВ 5
Кус Ц * 103 50

Ріс.5.6 Умовне позначення і цоколевка операційного
підсилювача К140УД12

6. Розробка графічного структурного алгоритму програми

Прикладна програма, що керує розробленим пристроєм, являє собою виконуваний файл main.com. Роботу пристрою можна задати спочатку на початку виконання main.com, за допомогою параметра D - число, що заносяться в регістр RG для встановлення відповідного опору.
Програма повинна:
1. Запросити керуючий байт.
2. Занести у вказаний порт введений байт.
3. Чекати сигналу з шини даних, якщо його отримано - вивести повідомлення
У програмі можна виділити 3 основних логічних блоку - занесення керуючого слова в регістр, перехоплення переривання 08h, паралельне спостереження за шиною даних.
Блок схема роботи програми (структурний графічний алгоритм) наведено у Додатку даного курсового проекту.

6.1 Розробка прикладної програми і опис її можливостей

За складністю програмування інтерфейс ISA займає середнє місце між Centronics з одного боку, і PCI і SCSI з іншого. Особливістю програмування Centronics є абсолютна простота, т.к всі сигнали доступні для програмування. ISA - доступність тільки шини даних і портів введення-виведення, PCI - складність програмування шини в цілому.
Дана прикладна програма управляє розробленим нестандартним периферійним пристроєм. Це управління досить просто, так як спочатку пристрій працював абсолютно автономно, і мені довелося вводити додаткові керуючі регістри і робити паралельні відводи від схеми, що ввело лише невеликі ускладнення в роботу пристрою в цілому.
Програма є резидентної, тобто знаходиться постійно в пам'яті. Завантажується командою "main.com", ініціалізує пристрій, і пропонує ввести байт D, де D - число, що занесені до керуючий регістр (за замовчуванням воно дорівнює 0), і вивантажується "main.com u".
Перебуваючи в пам'яті, програма постійно стежить за шиною даних, і якщо прийшло повідомлення про включення / вимикання нагрівача, видає відповідне повідомлення.

6.2 Лістинг програми

comsegment segment para
org 100h
start:
main proc near
jmp first_instruction
saved_int08labeldword
old_offsdw?
old_segdw?
vector = (08h)
isworkingdb 0
_TCCdb 0
uninst_message db 10,13, "Uninstalling ... ",'$'
message db 10,13, "Already loaded!", 10,13
db "'main u' for uninstall", 10,13, '$'
string db "",'$'
input_message db "Enter number (0-7): ",'$'
begin_message db "Wormer started", 10,13, '$'
end_message db "Wormer stopped", 10,13, '$'
int08_treater:
jmp gonow
db '08hook '
gonow:
push ax bx
mov bl, 0102h
in al, bl; намагаємося отримати статус пристрою з порту 258
and al, 10000000b
cmp al, 10000000b
je start_loop
cmp cs: isworking, 1
je end_loop
jmp leave_treater
start_loop:
mov cs: isworking, 1
push bx ax
mov bx, ds
mov ax, cs
mov ds, ax
xor ax, ax
mov ah, 09h; якщо нагрівач включився - видаємо повідомлення про це
lea dx, begin_message
int 21h
mov ds, ax
pop ax bx
jmp leave_treater
end_loop:
mov cs: isworking, 0
push bx ax
mov bx, ds
mov ax, cs
mov ds, ax
xor ax, ax
mov ah, 09h; якщо нагрівач виключений - включаємо
lea dx, end_message
int 21h
mov ds, ax
pop ax bx
leave_treater:; вихід з обробника
pop bx ax
jmp cs: saved_int08
release:
mov ah, 09h
lea dx, uninst_message
int 21h
mov ax, 3508h
int 21h
mov ax, es: old_seg
mov ds, ax
mov ax, es: old_offs
mov dx, ax
mov ax, 2508h
int 21h
mov ah, 49h
int 21h
mov ax, 4c00h
int 21h
first_instruction:
cmp byte ptr [es: 80h], 1
jbe resume
mov bx, 82h
and byte ptr es: [bx], 0dfh
cmp byte ptr es: [bx], 'U'
je release
resume:
mov ax, 3508h
int 21h
; 30 39 68 6F 6F 6B
cmp word ptr es: [bx +2], 3830h
jne setupTSR
cmp word ptr es: [bx +4], 6f68h
jne setupTSR
cmp word ptr es: [bx +6], 6b6fh
jne setupTSR
jmp loaded
setupTSR:
mov al, 0100h; ініціалізували пристрій управління чутливістю
mov bl, 0
out al, bl
push bx ax
mov bx, ds
mov ax, cs
mov ds, ax
xor ax, ax
mov ah, 09h
lea dx, input_message; повідомлення 0001 введенні керуючого байта
int 21h
mov ah, 06h; вводимо керуючий байт
int 21h
sub al, 48
mov cs: _TCC, al
mov ds, ax
pop ax bx
mov al, 0101h
mov bl, cs: _TCC; посилаємо порт 257 керуючий байт
out al, bl
mov ax, 3508h
int 21h
mov old_offs, bx
mov old_seg, es; перехоплюємо переривання, ставимо свій оброблювач
cli
push ds
push cs
pop ds
lea dx, int08_treater
mov ax, 2508h
int 21h
pop ds
sti
resident_end:
mov dx, offset resident_end; кінець, залишаємо частину програми в пам'яті
int 27h
loaded:; якщо програма вже завантажена - видаємо повідомлення і на вихід
push bx ax
mov bx, ds
mov ax, cs
mov ds, ax
xor ax, ax
mov ah, 09h
lea dx, message
int 21h
mov ds, ax
pop ax bx
RET
main endp
comsegment ends
end start

Висновок

У процесі курсового проектування було розроблено нестандартне периферійний пристрій "термостабілізатора з ізольованим датчиком", інтерфейсом сполучення для якого є інтерфейс ISA. Підтримуюча синхронний режим обміну інформації (обмін в темпі виконавця). Написана прикладна програма, що керує пристроєм, що працює на комп'ютері сімейства IBM PC під управлінням операційної системи MS-DOS, або в режимі емуляції MS-DOS. Програма дозволяє керувати роботою пристрою, шляхом зміни параметрів в командному рядку, і стежити за повідомленнями від пристрою.

Література

1. http://radiotech. by.ru / Shematic_PCB / PIC-controlers / termo_reg (pic). htm
2. Цифрові інтегральні мікросхеми: Справ. / М.І. Богданович. - Мн.: Білорусь, 1991. - 493 с.: Іл.
3. Інтегральні мікросхеми ТТЛ, ТТЛШ: Справ. / В.П. Левшин. - Мн.: Білорусь, 1993. - 374 с.: Іл.
4. В.Л. Шило Популярні цифрові мікросхеми: Довідник. - 2-е вид., - М: Радіо і зв'язок, 1989р.
5. ISA specification v1.0
6. Безюлев С. "термостабілізатора з ізольованим датчиком". - Радіо, 2003, № 2, с.42
7. Резистори, конденсатори, транзистори, дроселі, комутуючі пристрої РЕА. Справ. / М.М. Акімов, Є.П. Ващуком, В.А. Прохоренко, Ю.П. Ходоренко - Мн.: Білорусь, 1994
8. М. Гук. Апаратні інтерфейси ПК. Енциклопедія. - СПБ.: Пітер, 2002. - 528с.: Іл.
Додати в блог або на сайт

Цей текст може містити помилки.

Програмування, комп'ютери, інформатика і кібернетика | Курсова
51.2кб. | скачати


Схожі роботи:
Спряження зовнішніх пристроїв з ПК за допомогою шин та ISA та PCI
Управління збутом продукції на підприємстві Челябінськ-шина
Управління збутом продукції на підприємстві Челябінськ шина
Розширення поняття числа
Розширення ЄС підходи та оцінки
Розширення кільця за допомогою полутела
Наслідки розширення ЄС для України
Оптимальний розподіл коштів на розширення виробництва
Модель Великого вибуху і розширення Всесвіту
© Усі права захищені
написати до нас