Тригери на логічних елементах

[ виправити ] текст може містити помилки, будь ласка перевіряйте перш ніж використовувати.

скачати

Полтавський Військовий Інститут Зв’язку
Кафедра схемотехніки радіоелектронних систем
ОБЧИСЛЮВАЛЬНА ТЕХНІКА ТА МІКРОПРОЦЕСОРИ
напрям підготовки 0924 «Телекомунікації»
Тригери на логічних елементах.
Полтава – 2006

Навчальна література
1.                 Тиртишніков О.І., Корж Ю.М. Обчислювальна техніка та мікропроцесори. Частина 2. Цифрові автомати: Навчальний посібник. – Полтава: ПВІЗ, 2006, с. 34 – 46.
2.                 Калабеков Б.А., Мамзелев И.А. Цифровые устройства и микропроцессорные системы. М.: Радио и связь, 1987.

ВСТУП
Логічні пристрої, що розглядались на попередніх заняттях, мали одну загальну властивість: їх стан цілком визначався комбінацією вхідних сигналів у даний момент часу і не залежав від попередніх значень цих сигналів. Такі логічні елементи не запам'ятовують інформацію і звуться комбінаційними.
Послідовнісні схеми, або ЦА з пам’яттю, реалізовують логічні функції, значення яких у даний момент часу визначаються не тільки сукупністю значень вхідних змінних у цей же момент часу, але й попереднім станом схеми (попередніми значеннями вхідних змінних). Про такі схеми говорять, що вони мають властивість пам'яті (передісторія функціонування впливає на результат перетворення вхідних сигналів схемою).
На відміну від комбінаційних пристроїв, послідовнісні пристрої завжди мають у своєму складі зворотні зв'язки, по яких інформація про попередній стан із виходів пристрою, разом із зовнішніми керуючими сигналами, надходить на його входи. Наявністю зворотних зв’язків і пояснюється наявність властивості пам’яті у послідовнісних пристроях.
Логічна функція, що встановлює залежність стану, у який переходить послідовнісний пристрій із поточного стану під впливом заданих сигналів управління, має назву функції переходів. Функції переходів, як і логічні функції комбінаційних пристроїв, задаються логічними виразами або у вигляді таблиць переходів.
Переходи автоматів з пам’яттю з одного стану до іншого починаються з деякого початкового стану, визначення якого є частиною визначення умов функціонування ЦА в цілому. Наступний стан ЦА залежить від вихідного стану та вхідних сигналів. У результаті, поточний стан і сигнали на виходах ЦА залежать від початкового стану та всіх попередніх вхідних сигналів, тобто послідовність вхідних сигналів визначає послідовність станів та вихідних сигналів автомата. Цим пояснюється назва «послідовності схеми», яку використовують для позначення ЦА.
Автомати з пам’яттю в канонічному поданні розділяють на дві частини: пам'ять та комбінаційне коло. На входи комбінаційної частини подаються вхідні сигнали та сигнали стану ЦА. На її виході виробляються вихідні сигнали та сигнали переводу ЦА в наступний стан (тому комбінаційну частину іноді розділяють за функціональним призначенням на два кола).
ЦА можуть класифікуватися за різними ознаками. У схемотехніці використовуються переважно так звані автомати Мура, вихідні сигнали яких є функціями виключно попередніх станів ЦА. У автоматах Мілі вихідні сигнали залежать як від попередніх станів, так і від вектора вхідних змінних.
Деякі послідовнісні функціональні вузли відносять до автономних автоматів. Вони не мають інформаційних входів і під впливом тактових сигналів переходять у наступні стани за алгоритмом, що визначається структурою автомата.
Переважна більшість сучасних ЦА з пам’яттю є синхронними.
До послідовнісних цифрових вузлів відносять: тригери, регістри, лічильники, дільники частоти слідування імпульсів, схеми порівняння поточного і попередніх станів; перетворювачі паралельної двійкової інформації у послідовну та навпаки, накопичувальні суматори та ін.
У техніці зв'язку послідовнісні схеми застосовуються дуже часто. Наприклад, лічильники застосовуються в пристроях фазової корекції, вимірювальних приладах цифрового типу, у перетворювачах циклів та інших вузлах засобів зв'язку. На основі лічильників реалізовуються дільники частоти слідування імпульсів. Регістри широко використовуються в спеціальній апаратурі, з їхньою допомогою здійснюється кодування дискретної інформації, виконуються допоміжні операції з тимчасового зберігання та перетворення кодових слів у процесорах обчислювальної техніки.
1.                 Класифікація тригерів.
Найпростішими з послідовнісних цифрових вузлів є тригерилогічні схеми, які можуть знаходитись у одному з двох стійких станів і стрибком переходити в інший стан під впливом зовнішніх сигналів (через це інколи тригер називають бістабільним елементом). Перехід у інший стан частіше за все залежить не тільки від поточних значень вхідних сигналів, але й від попереднього стану тригера. Інформація про попередній стан тригера, що надходить з його виходу разом з вхідними сигналами, визначає його роботу. Саме через це тригери завжди є пристроями із зворотними зв’язками.
У цифровій техніці використовують тригери, побудовані на логічних елементах. Тригери, в свою чергу, є основою для побудови складних функціональних цифрових вузлів різного призначення – лічильників та розподілювачів імпульсів, дільників частоти слідування імпульсів, регістрів, запам'ятовувальних пристроїв.
Інтегральні тригери класифікуються за способом отримання інформації, за принципом побудови та функціональними можливостями.
За способом отримання інформації розрізняють синхронні та асинхронні тригери. Асинхронні тригери сприймають інформаційні сигнали та реагують на них безпосередньо в момент їх появи на інформаційних входах тригера. Синхронні тригери реагують на інформаційні сигнали за умов наявності дозволяючого сигналу на спеціальному керуючому вході С, який називають входом синхронізації. Синхронні тригери у свою чергу поділяються на тригери із статичним та динамічним управлінням по синхровходу.
Тригери із статичним управлінням (керовані рівнем сигналу) сприймають інформаційні сигнали за умови надходження на синхровхід рівня логічної одиниці (прямий С-вхід) або нуля (інверсний С-вхід). Тригери із динамічним управлінням (керовані фронтом сигналу) сприймають інформаційні сигнали при зміні сигналу на С-вході з 0 на 1 (прямий динамічний С-вхід) або з 1 на 0 (інверсний динамічний С-вхід).
За принципом побудови синхронні тригери можна поділити на одноступеневі та двоступеневі. Одноступеневі тригери мають лише один ступінь запам’ятовування інформації, а у двоступеневих тригерах таких ступенів два. Спочатку інформація записується у перший ступінь, потім переноситься у другий і потрапляє на вихід тригера. Двоступеневі тригери також називають тригерами типу MS (від англійського Master – Slave, тобто «майстер – помічник»). Ця абревіатура відображає характер роботи тригера: вхідна ступень виробляє нове значення вихідної змінної Q, а вихідна ступень його копіює.
За функціональними можливостями (або за способом організації логічних зв’язків) розрізняють:
1.                 Тригер з окремим встановленням станів 0 та 1 (RS-тригер). R (від англійського RESET – скидання) – окремий вхід встановлення у стан 0. S (від англійського SET – встановлення) – окремий вхід встановлення тригера у стан 1.
2.                 Універсальний тригер з інформаційними входами J та K (JK-тригер). Тут J – вхід для встановлення універсального тригера у стан 1. K – вхід для встановлення універсального тригера у стан 0.
3.                 Тригер, який отримує інформацію лише через один вхід D – тригер затримки або D-тригер (D від англійського DELAY – затримка). Тут вхід Dінформаційний вхід для встановлення тригера у стан, який співпадає з логічним рівнем на цьому вході.
4.                 Тригер із лічильним входом – Т-тригер або лічильний тригер. Тут вхід Т – лічильний вхід.
5.                 Комбіновані тригери, у яких сполучені декілька типів тригерів. Наприклад, тригер типу RST – лічильний тригер, що також має входи встановлення та скидання.
З класифікації тригерів за їх функціональними можливостями стає зрозумілим, що назва тригера за цією ознакою цілком визначається типами його входів. Тригер будь-якого типу має два виходи: прямий Q та інверсний  Стан тригера визначається за прямим виходом.
Головними показниками тригерів є їх швидкодія, чутливість, потужність, що споживається від джерела живлення, захищеність від перешкод та функціональні можливості. Швидкодія визначається максимальною частотою перемикань станів тригера і досягає сотень мегагерц. Чутливість тригера визначається найменшою напругою на вході (пороговою напругою), при якій відбувається перемикання тригера. Захищеність тригера від перешкод визначається його спроможністю працювати за умов впливу на нього різноманітних перешкод. Функціональні можливості визначаються кількістю та типом входів тригера.
Для повного визначення тригера достатньо задати його структурну схему на підставі базових логічних елементів (частіше за все використовують елементи ТА-НІ, АБО-НІ) та закон функціонування тригера у вигляді логічної функції або таблиці переходів.
В основі тригерів всіх типів лежить основний (базовий) RS-тригер з прямими або інверсними входами.

2.                 RS - тригер.
2.1.         Асинхронний RS - тригер з прямими входами.
Асинхронний RS - тригер з прямими входами має два інформаційні входи R та S, які використовуються для встановлення його відповідно у стан 0 та 1, а також два виходи: прямий Q та інверсний . Цей тригер побудований на двох логічних елементах ТА-НІ, які об’єднано у контур. Схема та графічне позначення тригера подані на рис. 1а,б відповідно.

Рис. 1
У цій схемі вихід кожного елемента АБО-НІ підключено до одного із входів іншого елемента. Саме таке з’єднання й забезпечує два стійких стани тригера. У RS - тригерах з прямими входами сигналами управління є тільки одиничні рівні сигналів. Взагалі, сигнали, які призводять до перемикання елементів, називають активними, а ті, які не призводять до такого перемикання – пасивними. Для елементів АБО-НІ активним сигналом є сигнал логічної 1.
Нехай ми маємо на входах тригера R=0 та S=0.Якщо початковий стан тригера Q=0, то з виходу Q логічний 0 подається до одного із входів елементу В; при цьому на обох входах елементу В діють логічні нулі і на виході елементу  є сигнал 1. З виходу елементу В логічна 1 потрапляє на вхід елементу А, що забезпечує на його виході рівень логічного 0. Це один із стійких станів тригера. У стані 1 тригера Q=1, і відповідно , при цьому на обох входах елементу А діють логічні рівні 0, що забезпечує Q=1.
Таким чином, у кожному з двох стійких станів тригера елементи А і В знаходяться у протилежних станах. Перемикання тригера з одного стійкого стану до іншого відбувається при надходженні активних сигналів на входи. Якщо R=1, тобто, якщо тригер знаходився у стані 0(Q=0), то цей стан не зміниться. Якщо ж тригер знаходився у стані 1, то при надходженні сигналу R=1 він перейде до стану 0. Аналогічно, якщо S=1, то Q=1.
Одночасне надходження активних сигналів 1 на обидва входи (S=R=1) є неприпустимим, через те, що при цьому на обох входах встановлюється стан 0, а після припинення дії активних сигналів стан тригера лишатиметься невизначеним: через випадкові чинники тригер може перейти до стану 1 або 0. Наведений вище алгоритм функціонування тригера може бути наглядно поданий за допомогою таблиці переходів (табл.1).

Таблиця1

R
S
Qt
Qt+1
Режим роботи тригера
0
0
0
0
0
1
0
1
Qt
Режим зберігання інформації
0
0
1
1
0
1
1
1
1

Встановлення 1

1
1
0
0
0
1
0
0
0

Встановлення 0

1
1
1
1
0
1
-
-
-
Комбінація заборонена
У цій таблиці Qt – початковий стан тригера, Qt+1 – наступний стан тригера, у який він перейде після надходження на його входи комбінації сигналів R та S.
Висновки:
1.                 При S=R=0 тригер залишається у попередньому стані (режим зберігання інформації)
2.                 При R=1; S=0 тригер переходить до стану 0 незалежно від попереднього стану. Аналогічно при R=0; S=1 тригер переходить до стану 1 незалежно від попереднього стану
3.                 Комбінація вхідних сигналів S=R=1 є забороненою для RS-тригера з прямими входами.
2.2.         Асинхронний RS-тригер з інверсними входами.
Асинхронний RS-тригер з інверсними входами побудований на елементах ТА-НІ. При цьому активним логічним рівнем на його входах є рівень логічного 0, а пасивним – рівень 1. Схема та графічне позначення такого тригера подані відповідно на рис.2а,б. Можливі стани тригера показані у таблиці переходів (табл.2).
Таблиця 2
S
R
Qt+1
0
0
-
0
1
1
1
0
0
1
1
Qt
Висновки:
1.                 При S=R=1 тригер залишається у попередньому стані.
2.                 При R=1; S=0 Qt+1=1 і аналогічно при R=0; S=1 Qt+1=0
3.                 Комбінація S=R=0 є забороненою.

Рис. 2
2.3.         Синхронний RS-тригер.
Синхронний RS-тригер відрізняється від асинхронного наявністю С-входу, на який надходять синхронізуючі (тактові) сигнали. Синхронний тригер складається з асинхронного RS-тригера та комбінаційного цифрового пристрою, як показано на рис.3а . Графічне позначення такого тригера подані відповідно на рис. 3б.
За допомогою логічних елементів ТА-НІ, які створюють вхідний комбінаційний пристрій, забезпечується передавання активних рівнів сигналів на інформаційних входах S та R синхронного тригера на інверсні входи внутрішнього асинхронного тригера лише за умов наявності логічної 1 на синхровході С. При С=1 стан тригера визначається сигналами на його входах аналогічно до розглянутого вище асинхронного тригера. При С=0 тригер не реагує на рівні сигналів на входах S та R. Алгоритм функціонування тригера поданий у таблиці переходів (табл.3).
Рядки, де С=0 у таблиці відсутні через те, що вони не несуть корисної інформації.

Рис. 3

Таблиця 3

S
R
C
Qt+1
0
0
1
Qt
0
1
1
0
1
0
1
1
1
1
1
-
Очевидно, що таблиця переходів такого синхронного тригера практично співпадає з таблицею переходів асинхронного RS-тригера з прямими входами (якщо не брати до уваги стовпець С таблиці 3), хоча у схемі (Рис.3) застосовано асинхронний RS-тригер з інверсними входами. Справа у тому, що всередині схеми, наведеної на Рис.3, двічі відбувається інвертування тих самих сигналів (на виходах елементів ТА-НІ комбінаційного пристрою та на інверсних входах асинхронного тригера, що у відповідності до закону про подвійну інверсію =x означає відсутність інверсії взагалі. Таким чином, у схемі на рис.3а можна виключити усі позначки внутрішніх операцій інвертування і при цьому для отриманої схеми буде справедлива таж сама таблиця переходів.
Висновки:
1.                 Наявність входу синхронізації С розширює можливості тригерів. Тому практично усі тригери, які виробляє промисловість мають такий вхід. При цьому залишається можливість працювати і у асинхронному режимі: для цього лише потрібно подати на вхід С рівень логічної 1.
2.                 Головним недоліком RS-тригерів є наявність заборонених комбінацій сигналів.
3.                 JK-тригери
Універсальний JK-тригер функціонує майже так само, як і звичайний RS-тригер. При цьому вхід J виконує роль входу S, а вхід K- входу R. Таким чином активний сигнал (рівень логічної 1), поданий на вхід J, переводить тригер у стан 1, а поданий на вхід К – у стан 0. Різниця полягає лише у тому, що при J=K=1 тригер змінює свій стан на протилежний. JK-тригер не має заборонених комбінацій вхідних сигналів, наявність яких була головною вадою RS-тригерів.
Найпростіший JK-тригер у базисі ТА-НІ можна побудувати на тому ж наборі елементів, що й розглянутий вище синхронний RS-тригер. Схема такого тригера подана на рис. 4. Алгоритм його функціонування поданий у таблиці переходів 4.
Таблиця 4
J
K
Qt+1
0
0
Qt
0
1
0
1
0
1
1
1
t
Нехай тригер знаходиться у стані 0 (Q=0, =1), а на його входах діють сигнали J=K=0. При цьому на виходах обох елементів ТА-НІ – рівні логічної 1. У відповідності до рядка 4 з таблиці 2, така комбінація вхідних сигналів (R=S=1) у асинхронному RS-тригері з інверсними входами забезпечує режим зберігання інформації (стан тригера лишається незмінним). Якщо ж подати на входи тригера сигнали J=K=1, то вихідний сигнал елементу В не зміниться, а на виході елементу А з’явиться сигнал логічного 0. При такій комбінації вхідних сигналів (R=1 S=0) асинхронний RS-тригер з інверсними входами переходить до стану 1 (рядок 2 таблиці 2). Аналогічні міркування можна провести стосовно одиничного початкового стану тригера. Таким чином, JK-тригер при надходженні на його входи сигналів J=K=1 дійсно переходить до протилежного стану.
Треба зазначити, що на практиці застосовуються значно складніші схеми JK-тригерів ніж та, яку ми щойно розглянули.
По-перше, реальні JK-тригери завжди мають вхід синхронізації для розширення їх функціональних можливостей.
По-друге, синхронні JK-тригери завжди мають двохступеневе запам’ятовування інформації. У цьому випадку вони мають у своєму складі два RS-тригери, один з яких називають керованим, а другий – керуючим. Часто такі тригери називають MS-тригерами (від ангел. Master-Slave). Така побудова синхронних тригерів (не тільки JK, але й будь-яких типів) дозволяє усунути можливість встановлення тригера у невизначений стан через перехідні процеси при перемиканні або при короткочасних змінах вхідних сигналів. Графічне позначення двохступеневого синхронного JK-тригера наведено на рис.5.

 
 


Рис. 4                                                                   Рис. 5

4. D і T тригери
4.1. Тригер з лічильним входом (Т-тригер).
Як було розглянуто раніше, при надходженні на обидва інформаційні входи JK-тригера рівней логічної 1 (J=K=1), він переходить до протилежного стану. З цієї точки зору найбільш доцільним способом перетворення JK-тригера на Т-тригер є просте об’єднання його J і K-входів для отримання лічильного Т-входу, як це зображено на рис.6а.
На практиці частіше за все використовують схему Т-тригера, у якій у якості Т-входу використовують вхід С синхронного -тригера, а на J,K входи подають рівень логічної 1, як це зображено на рис.6б. Алгоритм функціонування Т-тригера (однаковий для схем на рис.7а та 6б подано у вигляді таблиці переходів (табл.5). Умовне графічне зображення тригера показано на рис.6в.
Таблиця 5
T
Qt+1
0
Qt
1
t
 
 


Рис. 6
4.2.Тригер затримки (D-тригер)
D-тригер має один інформаційний вхід (D- вхід) і вхід синхронізації С. Цей тригер завжди побудовано як синхронний та двохступеневий. Головне призначення D-тригера – затримка сигналу, який подано на вхід D. D-тригер може бути отриманий з JK-тригера після об’єднання входу K з входом J через інвертор так, як це зображено на рис.7а.
Таблиця 6
D
C
Qt+1
1
1
1
0
1
0
Подпись: Таблиця 6
D	C	Qt+1
1	1	1
0	1	0

Отриманий таким чином вхід називають D-входом. Функціонування D-тригера відображено у таблиці переходів табл.6, у якій виключені рядки для С=0. При С=0 тригер може необмежений час зберігати раніше встановлений стан. При С=1, інформація, що надходить на вхід D, потрапляє до тригера, але на виході його вона з’явиться з затримкою на один такт. Графічне позначення D-тригера зображено на рис.7б.

Рис.8

В И С Н О В К И
Найпростішими елементами з пам’яттю є тригери – логічні елементи, яки можуть знаходитись у одному з двох стійких станів і переходити до іншого стану під впливом зовнішніх сигналів (через це тригер інколи називають бістабільним елементом). Перехід у інший стан частіше за все залежить не тільки від поточних значень вхідних сигналів, але й від попереднього стану тригера.
За функціональними можливостями розрізняють:
6.                 Тригер з окремим встановленням станів 0 та 1 (RS-тригер). R(від англійського RESET) – окремий вхід 0. S(від англійського SET) – окремий вхід встановлення тригера у стан 1.
7.                 Універсальний тригер з інформаційними входами J та K(JK-тригер). Тут J- вхід для встановлення універсального тригера у стан 1. K - вхід для встановлення універсального тригера у стан 0.
8.                 Тригер, який отримує інформацію лише через один вхід D (тригер затримки або D – тригер, від англійського DELAY – затримка). Тут вхід D – це інформаційний вхід для встановлення тригера у стан, який відповідає логічному рівню на цьому вході.
9.                 Тригер із лічильним входом (Т-тригер). Тут вхід Т – це лічильний вхід.
Тригери на логічних елементах, є основою побудови складних функціональних пристроїв.
У апаратурі військового зв’язку та АСУ тригери застосовують для:
-                     побудови лічильників імпульсів;
-                     ділення частот надходження імпульсів;
-                     побудови регістрів;
-                     побудови розподілювачів імпульсів;
-                     побудови запам'ятовуючих пристроїв.
Додати в блог або на сайт

Цей текст може містити помилки.

Програмування, комп'ютери, інформатика і кібернетика | Лекція
86.1кб. | скачати


Схожі роботи:
Тригери
Імпульсно-статичні динамічні квазистатическим тригери
Розрахунок підсилювача на дискретних елементах
Моделювання теплових процесіів в елементах енергетичного обладнанн
Окисно відновні реакції і електрохімічні процеси в гальванічних елементах Електродні потенціали
Окисно-відновні реакції і електрохімічні процеси в гальванічних елементах Електродні потенціали
Моделювання теплових процесіів в елементах енергетичного обладнання ТЕС і АЕС шляхом розвязання
Основи логічних суджень
Синтез логічних схем
© Усі права захищені
написати до нас