Ім'я файлу: Презентація_Ющенко_21_12_посл.ppt
Розширення: ppt
Розмір: 1529кб.
Дата: 01.01.2024
скачати
Пов'язані файли:
121РП_Мат_мет_в_наук_досл_Стрелковська_ІВ.doc

    Харківський національний університет
    радіоелектроніки


Кафедра АПОТ Кваліфікаційна робота магістра
Моделі та методи проектування
апаратного біт-потокового обчислювача
дробово-раціональних функцій


Керівник:
доц. каф. АПОТ
Ларченко Л.В.


Магістранта групи СКСм-20-1
Ющенко Сергія
Валерійовича

Біт-потокові функціональні обчислювачі в розподілених системах управління


Система управління реального часу містить компоненти:
об’єкт управління;
датчики, сенсори та перетворювачі;
виконавчі пристрої (актюатори);
підсистема управління реального часу.


Система управління реального часу


У розподілених системах управління реального часу основою комплексу систем є обчислювальна система, що здатна:
прийняти та провести аналіз інформаційних даних про стан об’єкту управління;
провести обробку даних, порівняти отриману інформацію з задачами та цілями управління;
сформувати за результатами порівняння відповідні керуючі впливи на об’єкт управління.


В системах управління сигнали отримують від сенсорів фізичних величин для прийняття рішень про результати вимірювань з метою реалізації задач управління.
Об’єкт управління, сенсори та перетворювачі створюють інтерфейс зв’язку.
Інтерфейси зв’язку систем управління мають у своєму складі спеціалізовані пристрої – функціональні перетворювачі та обчислювачі, що працюють з потоковими формами даних.




Галузі застосування біт-потокових обчислювачів математичних функцій


в розподілених системах управління реального часу в якості функціональних перетворювачів та обчислювачів частотних та час-імпульсних сигналів, отриманих від сенсорів фізичних величин;
в якості зовнішніх апаратних модулів потокової обробки даних в архітектурах потокових процесорів;
при вирішенні завдань узгодження сенсорів з цифровими системами збору і обробки даних для удосконалення інтерфейсів зв’язку;
в сучасних системах управління в якості спеціальної апаратури їх спряження з виконавчими органами об’єкту керування;
при відтворенні траєкторій рухомих об'єктів в двомірному і тривимірному просторі.





аналіз особливостей функціонального перетворення бітових потоків в апаратних обчислювачах математичних функцій;
аналіз способу обчислення дробово-раціональних функцій, аргумент яких представлений бітовим потоком;
розробка математичної моделі біт-потокового обчислювача дробово-раціональної функції;
аналіз способу побудови конвеєрних архітектур біт-потокових обчислювачів на основі алгоритму обчислення поліноміальних функцій;
розробка архітектурної моделі обчислювача заданої функції;
розробка апаратної реалізації пристрою на основі кінцевого автомата;
розробка HDL-моделі обчислювача на основі автоматного опису;
верифікація, тестування та імплементація отриманої моделі обчислювача в платформу ПЛІС.


МЕТА і ПОСТАНОВКА ЗАВДАННЯ


Метою кваліфікаційної роботи є розробка моделей та методів автоматизованого проектування біт-потокового обчислювача дробово-раціональних функцій на основі ПЛІС.


Завдання дослідження:




Особливості функціонального перетворення бітових потоків даних


Потокові способи передачі та обробки даних характеризуються:
- можливістю реалізації перетворення за рахунок використання методів формування приростів і послідовної обробки потоків у міру надходження одиничних імпульсів потоку;
- високою завадостійкістю, обумовленою непозиційністю і ваговою рівнозначністю біт в імпульсному потоці.


Інформативним параметром в біт-потоковому кодуванні є фіксоване значення імпульсів (біт) за часовий інтервал.


Особливістю функціонального перетворення бітових потоків даних в online-обчислювачах елементарних математичних функцій є реалізація потокового способу обчислень.
Потоковий спосіб обчислень полягає в розгортці кодової інформації в часі з одночасним паралельно-послідовним виконанням перетворень над одиничними бітами вхідного потоку у відповідності до заданої функції.
При цьому здійснюється послідовне обчислення значень функції, що виконуються для сусідніх значень аргументу.
Кожне наступне значення функції визначається на підставі попереднього результату обчислень.
Перше обчислення виконується з урахуванням вводу початкових умов (ініціалізації компонентів пристрою).




Досліджувана функція


На графіку:
1 - неперервна дробово-раціональна функція
2 - апроксимуюча дробово-раціональна функція , що відтворює неперервну на виході пристрою.


Апаратний обчислювач відтворює дробово-раціональну функцію (1)


де x – аргумент функції, що представляє собою бітовий потік даних;
– граничне значення абсолютної похибки обчислення функції.
Абсолютна похибка обчислення дробово-раціональної функції виникає при поділі полінома на число m і може бути забезпечена 0,5 одиниці молодшого біту числа х, що є раціональним, .


Обчислення функції в пристрою здійснюється виконанням двох операцій над бітовим потоком х:
обчислення значення полінома другого порядку ;
ділення отриманого результату на число m з похибкою .


що апроксимує неперервну функцію , (2)


Отже, обчислювач реалізує функцію . (3)




Математична модель біт-потокового обчислювача дробово-раціональних функцій


Для дробово-раціональної функції була визначена формула загального члена числової послідовності ху , що відповідає вузлам апроксимації заданої функції і має вигляд:


(4)


На основі нерівності (4) було отримано математичну модель обчислювача дробово-раціональних функцій, яку можна представити системою різницевих нерівностей:


(5)


Реалізацію системи нерівностей (5) можна здійснити обчислювачем, шляхом одночасного обчислення приростів ґратчастих функцій і , порівняння їх поточних значень з урахуванням різниці, отриманої на попередньому кроці обчислень .
При надходженні на вхід пристрою певного біта ху бітового потоку x на його виході буде сформований вихідний біт yk при виконанні кожної нерівності системи (5).




Алгоритм конвеєрних обчислень в біт-потокових обчислювачах дробово-раціональних функцій


Поліноміальна функція має вигляд . (1)


. . . . . . . .


Задача синтезу біт-потокових обчислювачів поліномів вирішується шляхом зниження порядку різниць.


Послідовність цілочисельних значень , що відповідають значенням аргументу є арифметичним рядом n-го порядку.


Значення функції визначаються за формулою: , (2)
де


Алгоритм обчислення арифметичних рядів n-го прядку та його різниць представлено системою різницевих рівнянь:


Розглянута методика може бути використана при проектуванні конвеєрної архітектури біт-потокового обчислювача дробово-раціональної функції.




Узагальнена архітектура біт-потокового обчислювача





Нерівність, що реалізується в узагальненій архітектурі:


Block 1 – блок відтворення функції
Block 2 – блок відтворення функції
&1, &2 – група елементів &
DE1 – елемент затримки


Block1 і Block2 формують прирости ґратчастих функцій лівої та правої частин нерівностей математичної моделі обчислювача.


Основним обчислювальним ядром архітектури є паралельний нагромаджуючий суматор SM_RES зі зворотним зв’язком, що використовується в якості компонента порівняння паралельних кодів.
Формування сходинок відтворюваної функції, здійснюється на виході суматора результату SM_RES, в який з Block 1 надходять прямі коди чисел, а з Block 2 - додаткові коди чисел.

Деталізована архітектура дробово-раціонального обчислювача


Архітектура є синтезом двох архітектур:
Block1 представляє собою конвеєрну архітектуру, побудовану на основі базової структури біт-потокового обчислювача поліноміальних функцій, в якому реалізується алгоритм конвеєрних обчислень.
Block2 - дільник чисел, побудований на основі архітектури обчислювача лінійних функцій.
Ініціалізація компонентів в Block1 здійснюється значеннями перших членів арифметичних рядів n-го порядку та його арифметичних рядів різниць відповідно.
Ініціалізація RG1 здійснена значенням константи арифметичного ряду різниць n-го порядку.
Суматор SUM_RES ініціалізується числом, що враховує числа ініціалізації з боку поліноміального обчислювача та з боку дільника чисел.


Функція, що реалізується в дробово-раціональному обчислювачі


На підставі алгоритму конвеєрних обчислень у Block1, в суматорах SM1, …, SMm-1 формуються паралельні коди чисел арифметичного ряду m-го та арифметичних рядів різниць 1-го, …, m-1-го порядків відповідно.




Архітектура досліджуваного обчислювача дробово-раціональних функцій


Біт-потокових пристрій відтворює функцію
В обчислювачі реалізується нерівність


SUM2 використовується в якості схеми порівняння паралельних кодів приростів ґратчастої функції
з приростами ґратчастої функції
з урахуванням їх різниці , отриманої на попередньому кроці обчислення.


Формування сходинок відтворюваної функції y здійснюється на виході суматора SUM2, в який з Block 1 за допомогою вхідних біт х надходять прямі двійкові коди чисел зі зсувом вправо на один розряд,а з Block 2 наджодять додаткові коди чисел за допомогою вихідних біт y пристрою.


Архітектура обчислювача містить у складі два блоки:
I. Квадратор призначений для реалізації лівої частини нерівності, а саме, квадратичного полінома. Квадратор містить суматори SUM1, SUM2, групи логічних елементів &1,&2, елемент затримки DE1 і регістр RG1.
II. Дільник чисел призначений для реалізації правої частини нерівності, а саме, ділення полінома на число m. Дільник чисел містить суматор SUM2, групу логічних елементів &3, елемент затримки DE2, регістр RG2.


Ініціалізація компонентів
пристрою:
регістр RG1: 2a
суматор SUM1:
суматор SUM2:
регістр RG2: -2m




Апаратна модель досліджуваного обчислювача на основі кінцевого автомату


Біт-потоковий обчислювач представляє собою автоматну систему управління на основі мікропрограмного автомату, що є композицією керуючого і операційного автоматів.
Операційний автомат обчислювача має обчислювальні стани, в яких здійснюється перетворення бітових потоків у відповідності до необхідної функції в біт-потоковий і двійковий код.




Специфікація досліджуваного обчислювача


Для автоматизації досліджуваної моделі була створена експериментальна апаратна реалізація обчислювача дробово-раціональної функції з бітовим потоком даних.


Було обрано значення цілочисельних коефіцієнтів a2, а1, а0, знаменника m функції y та довжину вхідного бітового потоку :


Дробово-раціональна функція містить в чисельнику квадратичний поліном, який реалізований в блоку квадратора обчислювача.
Підставляючи у вираз y = x2 + 2x +5 значення x = 0,1, 2, …, 10, отримаємо послідовність значень функції y, яка є арифметичним рядом 2-го порядку
Y : 5, 8, 13, 20, 29, 40, 53, 68, 85, 104.
Арифметичні ряди різниць 1-го і 2-го порядків для цієї послідовності мають вигляд:
3, 5, 7, 9, 11, 13, 15, 17, 19;
2, 2, 2, 2, 2, 2, 2, 2.


Ініціалізація компонентів
обчислювача:
регістр RG1:
суматор SUM1:
суматор SUM2:
регістр RG2:




ГСА операційного автомата реалізації дробово-раціональної функції


На підставі математичної моделі і архітектури обчислювача розроблено змістовну ГСА операційного автомату реалізації дробово-раціональної функції.


ГСА розмічені для синтезу автомата Мура та мають керуючі стани: a0, a1 і a2 .


Фрагмент HDL-моделі операційного автомату обчислювача, в якому приведено ініціалізацію компонентів арифметичного блоку обчислювача та конвеєрні обчислення в компонентах пристрою.


begin
if (reset_i = '1') then
sum <= CONV_STD_LOGIC_VECTOR(c - m, width);
counter <= CONV_STD_LOGIC_VECTOR(a+b, width);
else
if (falling_edge(clock_i)) then
if (sum_plus_a_i = '1') then
sum <= sum + counter + counter;
counter <= counter + 2*a;
else
if (sum_minus_b_i = '1') then
sum <= sum - 2*m;
end if;
end if;
end if;
end if;




Граф переходів керуючого автомату обчислювача


Керуючий автомат арифметичного блоку обчислювача описується графом переходів, що був отриманий в результаті синтезу граф – схеми алгоритму для автомата моделі Мура.


Граф переходів керуючого автомату арифметичного блоку дробово-раціонального обчислювача, що отриманий в результаті розмітки ГСА, має три стани а0, а1, а2.
Переходи з одного стану в інший відбуваються на основі умов переповнення (так/ні) суматорів SUM2.


Фрагмент HDL-моделі керуючого автомату дробово-раціонального обчислювача, в формі автоматного шаблону з використанням оператору case.


begin
case (state) is
when a_0 =>
if xi = '1' then
next state <= a_1;
else next state <= a_0;
end if;
when a_1 =>
if sum less zero i = '1' then
next state <= a_0;
else
next state <= a_2;
end if;
when a_2 =>
if sum less zero i = '1' then
next state <= a_0
else
next state <= a_2;
end if;
when others =>
next state <= a_0;
end case;




Структурно-блокова схема пристрою


Пристрій містить три основних блоки: детектор вхідного біту, блок біт-потокового обчислювача і блок вихідного буфера.
Детектор вхідного біту призначений для детектування бітів вхідної послідовності
х і на виході встановлює відповідний сигнал impulse = 1. Цей сигнал буде отримано арифметичним блоком обчислювача.
2. Блок біт-потокового обчислювача містить «Aproximator», в якому поєднано 2
модулі: квадратор та дільник чисел. Даний блок виконує операції відтворення квадратичного полінома та ділення отриманого результату на число m=10 з похибкою
3. Блок вихідного буфера призначений для формування вихідної бітової послідовності y.




Обчислювальний процес в компонентах пристрою


x


SUM2


Біт
переповнення
SUM2


SUM1


1


-5 + 6 = 1
1 – 20 = -19


1


3+2=5


2


-19 + 10 = -9


5+2 = 7


3


-9 + 14 = 5
5 – 20 = -15


1


7+2 = 9


4


-15 + 18 = 3
3 – 20 = -17


1


9+2=11


5


-17 + 22 = 5
5 – 20 = -15


1


11+2=13


6


-15 + 26 = 11
11 – 20 = -9


1


13+2 =15


7


-9 + 30 = 21
21 – 20 = 1
1 – 20 = -19


1
1


15+2=17


8


-19 + 34 = 15
15 – 20 = -5


1


17+2=19


9


-5 + 38 = 33
33 – 20 = 13
13 – 20 = -7


1
1


19+2=21


10


-7 +42 = 35
35 – 20 = 15
15 – 20 = -5


1
1


21+2=23


У таблиці наведено обчислювальний процес, що відбувається в компонентах обчислювача дробово-раціональних функцій при подачі на вхід бітового потоку довжиною 10 імпульсів (xmax=10). Результати обчислення функції та поява бітів переповнення на виході SUM2 співпадають.


RESULTS OF CALCULATING
THE Fractional-Rational FUNCTION


COMPUTING PROCESS IN DEVICE COMPONENTS




Верифікація та тестування роботи пристрою


Верифікація поведінкової моделі досліджуваного обчислювача виконувалась з використанням САПР Active-HDL.


На часовій діаграмі представлені результати моделювання поведінкової моделі біт-потокового online-обчислювача дробово-раціональної функції.
Значення в регістрах компонентів збігаються з розрахунковими даними обчислювального процесу і поява вихідних біт пристрою Y відповідає номерам вхідних біт Ху.




Висновки


В кваліфікаційній роботі розроблено і досліджено біт-потоковий апаратний обчислювач дробово-раціональних функцій, аргумент якого представлений бітовим потоком даних.


Проаналізовано особливості функціонального перетворення бітових потоків даних в обчислювачах математичних функцій.
Розроблено математичну модель біт-потокового обчислювача дробово-раціональних функцій. Для отримання математичної моделі був використаний спосіб формування приростів висхідних безперервних функцій на основі різницевих нерівностей.
Використано переваги принципу побудови біт-потокової конвеєрної архітектури обчислювача поліноміальних функцій, яка реалізує функціональне перетворення розгортуючого типу на основі обчислення приростів відтворюваної функції.
Розроблено архітектуру досліджуваного обчислювача, яка є синтезом двох блоків: блоку реалізації поліноміальної функції і блоку дільника чисел, що поєднані між собою основним обчислювальним вузлом – суматором зі зворотним зв’язком.




Висновки


В результаті розробки та аналізу математичної і архітектурної моделей обчислювача було здійснено опис проекту для введення в САПР.
Апаратна модель обчислювача сформована на основі кінцевого автомата моделі Мура. Розроблена змістовна граф-схема алгоритму операційного автомату реалізації заданої функції і на підставі ГСА, отриманий граф переходів керуючого автомату арифметичного блоку обчислювача.
За графами переходів з використанням стандартних шаблонів коду розроблено модель пристрою на мові опису апаратури VHDL.
Працездатність апаратної моделі обчислювача підтверджено перевіркою результатів за допомогою верифікації поведінкової моделі з використанням САПР Active-HDL.
Модель синтезована в програмовану логічну інтегральну схему Xilinx Spartan 3E.





скачати

© Усі права захищені
написати до нас